主要内容

外部存储器通道协议

为写入器和读取器添加到通道模型的信号接口是算法用于与通道通信的协议。协议不会改变外部内存通道模型的核心,该模型对突发事务进行操作。他们只控制数据如何进出这些通道。

对于FPGA或ASIC ip,典型的协议包括流数据、流视频数据和可寻址数据传输。对于软件,提供给算法的典型协议包括简单的数据缓冲区,而有关中断、缓冲区管理和任务调度的细节则留给底层操作系统。

配置内存通道块来支持各种协议。金宝app

通过DMA到软件的AXI4流

AXI4-Stream Software配置提供了从硬件到软件的软件流协议。当处理器充当内存中的读取器时,选择此配置。该协议包括一个触发器配置,其中任务管理器块接收。触发器发出信号,表示内存缓冲区已满,可以读取。有关axis4 -stream协议的更多信息,请参见AXI4-Stream接口

软件通过DMA传输到AXI4-Stream

通过DMA配置的Software to AXI4-Stream提供了从软件到硬件的软件流协议。当处理器充当内存写入器时,选择此配置。该协议包括一个触发器配置,任务管理器块接收该配置。触发器发出信号表明内存缓冲区是空的,可以写入了。然后处理器发起一个写事务。在写事务成功完成后,处理器从流写块。当状态为假时,处理器对该信号作出反应。有关axis4 -stream协议的更多信息,请参见AXI4-Stream接口

AXI4流FIFO

axis4 - stream配置为数据流提供了一个简单的数据有效和就绪的协议。您可以使用HDL Coder™从该协议生成完全兼容的AXI4-Stream接口。

对于数据流通道,内存寻址是自动的。通道负责像DMA核心那样将流转换为缓冲区地址。流与外部存储器中托管缓冲区的关系是通过一个“缓冲区结束”信号,称为tlastAXI4-Stream。有关axis4 -stream协议的更多信息,请参见AXI4-Stream接口

AXI4流视频FIFO

AXI4-Stream Video FIFO配置提供了一个数据有效且就绪的协议,类似于AXI4 Stream FIFO。该协议也有额外的信号来标记视频线的开始或结束以及视频帧的开始或结束。该协议兼容HDMI的处方HDMI Tx块,可与SoC Blockset™X金宝appilinx支持包®设备。您可以使用HDL编码器从该协议生成完全兼容的axis - stream视频流接口。有关HDMI块的信息,请参阅SoC块集支持包的文档。金宝app

对于流视频数据通道,内存寻址是自动的。通道负责像DMA核心那样将流转换为缓冲区地址。该流通过像素控制总线信号与外部存储器中的托管缓冲区相关,这些信号划分线和帧。有关更多信息,请参见AXI4-Stream视频接口

AXI4流视频帧缓冲器

AXI4流视频帧缓冲配置提供与AXI4流视频FIFO相同的信令,并带有用于帧缓冲同步的额外控制信号。该协议兼容HDMI的处方HDMI Tx块,可与针对赛灵思器件的SoC B金宝applockset支持包。您可以使用HDL编码器从该协议生成完全兼容的axis - stream视频流接口。有关HDMI块的信息,请参阅SoC块集支持包的文档。金宝app

对于流视频数据通道,内存寻址是自动的。通道负责像DMA核心那样将流转换为缓冲区地址。流与外部存储器中的托管缓冲区的关系是通过像素控制总线信号,它划分线和帧。

AXI4随机存取

AXI4配置为内存互连提供了一个简单、直接的接口。与前两种流协议不同,该协议允许算法通过提供地址和直接管理突发传输来充当内存主人。该协议代表一个简化的主协议。您可以使用HDL Coder从该协议生成完全兼容的axis -4接口。有关简化的AXI4接口的详细信息,请参见简化的AXI4主接口

另请参阅

||||

相关的话题