主要内容

fpga单脉冲技术:代码生成

这个例子显示了一个工作流的下半年为单脉冲技术和生成HDL代码验证生成的代码的功能是正确的。

第一个例子的工作流程,fpga单脉冲技术:算法设计,展示了如何开发一个算法在仿真软件®适合在硬件上实现,如现场可编程门阵列(FP金宝appGA),以及如何比较定点实现模型的输出对应的浮点行为模型。

这个示例使用HDL编码器™生成HDL代码从第一部分中所开发的仿真软件模型和验证使用高密度脂蛋白HDL代码验证人™。金宝app高密度脂蛋白验证器是用来生成一个cosimulation试验台模型验证HDL代码自动生成的行为。试验台使用ModelSim®cosimulation HDL代码自动生成的验证。

相控阵系统工具箱™模型块模型操作浮点数据并提供行为参考模型。金宝app这个行为模型是用于验证的结果实现模型和自动生成的HDL代码。

高密度脂蛋白编码器™生成便携,synthesizable Verilog®和VHDL®支持HDL代码生成的仿真软件的代码块。金宝app金宝app

高密度脂蛋白验证器可以让你验证Verilog硬件描述语言(VHDL)设计的fpga, asic和soc。这个例子验证仿真软件模型产生的高密度脂蛋白对试验台运行仿真软件使用cosimulation金宝app HDL模拟器。

实现模型

这个示例假设您有一个仿真软件模型,其中包含一个子系统与单脉冲技术设计使用仿金宝app真软件模块使用定点算术和支持HDL代码生成。金宝appfpga单脉冲技术:算法设计显示了如何创建这样一个模型。

开始一个新的模型,运行hdlsetup(高密度脂蛋白编码器)功能配置的仿真软件模型HDL代码生成。金宝app打开模型设置配置的仿真软件模型试验台创建所需的验证。金宝app选择试验台HDL代码生成在左边面板中,并检查高密度脂蛋白试验台Cosimulation模型试验台生成输出属性组。

比较结果行为模型的实现模型

运行中创建的模型fpga单脉冲技术:算法设计例子来显示结果。您可以运行仿真软件模型通过单击播金宝app放按钮或调用MATLAB®命令行上的sim命令。使用时间范围块比较输出帧视觉。

modelname =“金宝appSimulinkDDCMonopulseHDLWorkflowExample”;open_system (modelname);%确保模型是可见的范围,而不是阻碍。范围= find_system (modelname,“BlockType”,“范围”);close_system(范围);sim (modelname);

代码生成和验证

本节将展示如何生成HDL代码DDC和单脉冲技术以及验证生成的代码的功能是正确的。行为模型提供了参考价值,以确保高密度脂蛋白的输出是在公差范围内。

后定点实现验证和实现模型产生相同的结果作为你的浮点,行为模型,您可以生成HDL代码和试验台。对于代码生成和试验台,设置这些HDL代码生成参数的配置参数对话框。

  • 目标:Xilinx Vivado合成工具;Virtex7家庭;设备xc7vx485t;包ffg1761速度1;和目标300 MHz的频率。

  • 优化:取消所有的优化。

  • 全局设置:将重置类型设置为异步的。

  • 试验台:选择高密度脂蛋白试验台,Cosimulation模型和SystemVerilog DPI试验台。

HDL代码生成和创造试验台

仿真软件模型金宝app设置更新后,您可以使用HDL编码器生成HDL代码高密度脂蛋白算法子系统和使用HDL验证器生成模型试验台。

使用这些命令来生成HDL代码和试验台。

makehdl ([modelname/监护系统和单脉冲高密度脂蛋白]);%生成HDL代码makehdltb ([modelname/监护系统和单脉冲高密度脂蛋白]);%生成Cosimulation试验台

自从乘法模型占流水线,和残疾人创优化代码,没有额外的延迟添加到模型中。一致的输出行为模型和实现模型和cosimulation,你必须补偿管道的延迟。延迟的美元Z ^ {-215} $)添加到数字比较器的输出。这种延迟添加到补偿,DDC的延迟链。220单位的延迟,215单位延迟补偿延迟DDC链以及单脉冲和5单位,不同子系统。

当你生成HDL代码和测试的长椅上,一个新的仿真软件模型金宝appgm_ < modelname > _mq包含ModelSim Cosimulation块是你的工作文件夹中创建的。

打开试验台模型,使用此命令。

modelname = [“gm_”modelname,“_mq”];open_system (modelname);

ModelSim发射和运行cosimulation模型显示仿真结果。你可以点击播放按钮顶部的画布仿真软件运行试验台或从命令行运行它MATLAB®。金宝app

使用此命令运行试验台。

sim (modelname);

Simu金宝applink仿真试验台模型填充,®Sim波形与高密度脂蛋白模型在仿真软件的信号和时间范围。数据显示的例子中的结果,Sim和模型范围。金宝app

模型范围金宝app显示实部和虚部cosimulation和测试设计(DUT)以及它们之间的误差。

模型范围金宝app比较的结果cosimulation可以在试验台模型内比较子系统,它的输出监护系统和单脉冲HDL_mq子系统。

使用此命令打开子系统的范围。

open_system ([modelname,' / / Assert_Sum通道比较HDL的])

总结

生成的HDL代码以及cosimulation试验台的模型创建子系统支持HDL代码生成的块。金宝app金宝app这个例子展示了如何设置和发射ModelSim cosimulate HDL代码。通过执行cosimulation ModelSim的HDL代码和比较结果输出产生的高密度脂蛋白模型。自动生成HDL代码中的示例帮助定点单脉冲技术和验证模型生成的代码。金宝app