主要内容

金宝appCosimulation

用Simulink进行HDL协同仿真金宝app®

高密度脂蛋白Cosimulation 通过连接cosimulation HDL设计金宝app使用HDL模拟器
转到VCD文件 生成值更改转储(VCD)文件

应用程序

Cosimulation向导 生成一个共同模拟块或系统对象从现有的HDL文件

功能

全部展开

nclaunch 启动和配置节奏Xcelium用于高密度脂蛋白验证器软件
vsim 启动和配置ModelSim用于高密度脂蛋白验证器
hdl金宝appsimulink 加载实例化的HDL模块进行协同仿真节奏Xcelium而且金宝app
v金宝appsimulink 加载实例化的HDL模块进行协同仿真ModelSim而且金宝app
breakHdlSim 执行停止命令在HDL模拟器从MATLAB
pingHdlSim 直到HDL模拟器准备好为止
tclHdlSim 执行Tcl命令XceliumModelSim模拟器

对象

cosimulationConfiguration 配置HDL协同仿真工作流

主题

启动和连接

试验台

生成的HDL代码的验证与协同仿真测试台(需要高密度脂蛋白编码器许可)

组件的算法

使用Simulink进行联合仿真金宝app

HDL模拟器交互

  • 模拟时间尺度
    仿真时间的表示在HDL模拟器和Simulink之间有很大的不同。金宝app
  • 时钟、复位和使能信号
    您可以创建上升边缘或下降边缘时钟、重置或时钟使能信号,将内部刺激应用到协同仿真下的模型。
  • 提高模拟速度的技巧
    提供优化协同仿真性能的建议。
  • 金宝app支持的数据类型
    如果您的HDL应用程序需要将HDL数据发送到MATLAB函数或Simulink块,您可能首先需要将数据转换为MATLAB和HDL Verifier金宝app软件支持的类型。金宝app
  • HDL模拟器中的竞赛条件
    描述了在使用MATLAB和Simulink软件进行硬件协同仿真时避免竞态条件的方法。金宝app

为后期处理记录信号状态转换