主要内容

HDL语言支持和支持第三方金宝app工具和硬件

硬件描述语言(VHDL)Verilog语言支持金宝app

生成的HDL代码符合以下标准:

  • 硬件描述语言(vhdl) - 1993 (IEEE®1076 - 1993年)

  • verilog - 2001 (IEEE 1364 - 2001)

第三方合成工具和版本支持金宝app

HDL Workflow Advisor使用以下第三方FPGA合成工具进行测试:

  • 英特尔®第四的®黄金标准的18.1

  • 英特尔Quartus Pro 20.2

  • 赛灵思公司®Vivado®2020.1设计套件

  • Microsemi®自由人®SoC 12.0

  • Xilinx ISE 14.7

当你使用一个合成工具,已经测试与HDL工作流顾问和启动工作流程,顾问生成一个列表的设备,支持与该工具。金宝app如果您使用没有经过HDL Workflow Advisor测试的第三方合成工具,Advisor不会更新设备列表来反映您可以用于该工具的FPGA设备。

例如,HDL工作流顾问已与英特尔Quartus Prime标准和英特尔Quartus Pro测试。如果您使用的工具没有经过Advisor的测试,例如Intel Quartus Prime Lite,那么FPGA设备列表不会在Workflow Advisor中更新。

要在HDL Coder™中使用第三方合成工具,必须安装一个受支持的合成工具,并且合成工具的可执行文件必须在系金宝app统路径上。有关详细信息,请参见工具设置

FPGA-in-the-Loop硬件

在HDL验证器文档中金宝app列出了支持fpga在环仿真的HDL验证器™。

您也可以通过FPGA单板管理器添加自定义FPGA单板。看到FPGA板定制获取详细信息。

对于使用HDL Workflow Advisor的fpga in-the- loop或USRP™设备的定制,必须安装一个受支持的合成工具,并且合成工具的可执行文件必须在系统路径上金宝app。有关详细信息,请参见工具设置

通用ASIC / FPGA硬件

通用ASIC/FPGA工作流支持以下硬件:金宝app

合成工具 设备的家庭
Xilinx Vivado Kintex7
Artix7
Kintex UltraScale +
KintexU
Spartan7
Virtex UltraScale +
Virtex UltraScale + HBM
Virtex UltraScale 58 + g
Virtex7
VirtexU
Zynq
Zynq UltraScale +
Zynq Ultrascale + RFSoC
Xilinx ISE Virtex6
Virtex5
Virtex4
Spartan-3A DSP
斯巴达式的3 e
Spartan3
Spartan6

阿尔特拉®第四的二世

请注意

Altera Quartus II指的是合成工具英特尔Quartus Prime Standard。

强热带风暴®4
气旋V
Arria®II GX和GZ
Stratix®4
Stratix V
Arria 10
Arria V GX
马克斯10
飓风十LP

英特尔第四的职业

Arria 10
飓风十GX
Stratix 10

Microsemi自由人SoC

SmartFusion2
RTG4
IGLOO2
PolarFire

IP核生成硬件

IP核生成工作流支持以下硬件:金宝app

合成工具 目标平台
Xilinx Vivado ZedBoard和FMC-HDMI-CAM和FMCOMMS2/3/4/
ZC706和FMC-HDMI-CAM和FMCOMMS2/3/4/和FMCOMMS5
与FMC-HDMI-CAM ZC702
Zynq ZC706评估试剂盒
Zynq ZC702评估试剂盒
PicoZed FMC-HDMI-CAM
Zynq UltraScale+ MPSoC ZCU102评估试剂盒
Zynq UltraScale+ RFSoC ZCU111评估试剂盒
Zynq UltraScale+ RFSoC ZCU216评估试剂盒
KC705开发板

英特尔第四的职业

英特尔Arria 10 SoC开发工具包

阿尔特拉第四的二世

请注意

Altera Quartus II指的是合成工具英特尔Quartus Prime Standard。

Altera Arria 10 SoC开发工具包
旋风V SoC开发工具包C和D修订版
箭头DECA max10 FPGA开发板
箭头SoC工具包开发板
Arria 10gx FPGA开发工具包

Microsemi自由人SoC

SmartFusion2
RTG4
IGLOO2
PolarFire

请注意

Microsemi Libero SoC在IP核生成金宝app工作流中只支持Generic Microchip Platform。

金宝app实时FPGA I/O: Speedgoat目标计算机

您使用金宝appSimulink实时FPGA I/O针对Speedgoat FPGA I/O模块的工作流。这些I/O模块是Speedgoat的一部分®目标计算机系统。运行金宝appSimulink实时FPGA I/O工作流、安装Speedgoat I / O Blockset和Speedgoat HDL编码器集成包。安装集成包后,您可以选择目标平台然后运行工作流生成一个金宝app®实时™接口子系统。

了解:

看到Speedgoat FPGA支金宝app持与HDL工作流顾问

FPGA硬件交钥匙

FPGA Turnkey工作流程支持以下硬件:金宝app

  • Altera Arria II GX FPGA开发工具包

  • Altera Cyclone III FPGA开发工具包

  • Altera Cyclone IV GX FPGA开发工具包

  • 修改DE2-115发展和教育委员会

  • XUP Atlys sparan -6开发板

  • Xilinx Spartan-3A DSP 1800A开发板

  • Xilinx Spartan-6 SP605开发板

  • Xilinx Virtex-4 ML401开发板

  • Xilinx Virtex-4 ML402开发板

  • Xilinx Virtex-5 ML506开发板

  • Xilinx Virtex-6 ML605开发板

对于具有多个FPGA设备的FPGA开发板,只有一个这样的设备可以与FPGA Turnkey一起使用。此工作流不支持Xilinx Vivado。金宝app

金宝app单板定制支持的FPGA设备族

您也可以通过FPGA板管理器添加自定义FPGA板。HDL编码器支持以下金宝appFPGA器件家族板定制;也就是说,当您创建自己的董事会定义文件时。看到FPGA板定制(高密度脂蛋白校验)

设备的家庭
赛灵思公司 Kintex7
Artix7
Spartan-3A DSP
Spartan3
Spartan3A和Spartan3AN
Spartan3E
Spartan6
Virtex4
Virtex5
Virtex6
Virtex7
阿尔特拉 气旋三世
旋风四世
Arria二世
Stratix四世
Stratix V

另请参阅

相关的话题