主要内容

流媒体像素接口

什么是流像素接口?

在硬件上,一次处理一整帧视频占用了很高的内存和面积。为了节省资源,串行处理在HDL设计中是可取的。Vision HDL Toolbox™块和系统对象操作在像素、行或邻域上,而不是帧上。该块和对象接受并生成视频数据作为像素数据和控制信号的串行流。控制信号指示图像或视频帧内每个像素的相对位置。该协议模拟视频系统的计时,包括帧之间的非活动间隔。每个块或对象在不完全了解图像格式的情况下操作,并且可以容忍不完美的线和帧的时间。

所有Vision HDL工具箱块和系统对象都支持单像素流(每个周期1个像素)。金宝app一些块和系统对象还支持多像素流(每个周期4或8像素),用于高速率或高分辨金宝app率视频。多像素流增加了硬件资源,以支持与小分辨率视频相同的硬件时钟速率的更高视频分辨率。金宝搏官方网站金宝appSystem对象不支持用于多像素流的HDL代码生成。金宝app使用等效块为多像素算法生成HDL代码。

流像素接口如何工作?

视频采集系统从左到右、从上到下扫描视频信号。当这些系统扫描时,它们在活动视频的行和帧之间产生非活动间隔。

水平消隐Interval由一行结束和下一行开始之间的非活动周期组成。这个时间间隔通常分为两部分门廊后门廊.这些术语来自模拟视频波形中线之间的同步脉冲。的门廊是活动线路的末端和同步脉冲之间的采样数。的后门廊是同步脉冲和活动线路开始之间的采样数。

垂直消隐间隔是由非活动周期之间的结束活动线路一个坐标系和启动活动线路下一帧。

扫描模式需要水平方向和垂直方向的开始和结束信号。Vision HDL Toolbox流像素协议包括消隐间隔,并允许您配置活动和非活动帧的大小。

视频帧的非活动和活动时间。

在框架图中,活动框架左右的蓝色阴影区域表示水平下料间隔。活动框架上方和下方的橙色阴影区域表示垂直落料间隔。有关消隐间隔的详细信息,请参见配置冲裁间隔

为什么使用流像素接口?

格式独立

使用此接口的块和对象不需要用于精确图像大小或非活动区域大小的配置选项。此外,如果更改设计的图像格式,则不需要更新每个块或对象。相反,在序列化步骤更新一次映像参数。一些块和对象仍然需要行缓冲区大小参数来分配内存资源。

通过隔离图像格式细节,您可以使用小图像开发设计,以实现更快的模拟。然后,一旦设计正确,更新到实际的图像大小。

错误宽容

视频可以来自各种来源,如摄像机,磁带存储,数字存储,或开关和插入装置。这些来源可能会带来时间问题。人类的视觉无法检测到视频信号中的微小差异,因此视频系统的定时不需要完美。因此,视频处理块必须容忍行和帧的可变时间。

通过使用带有控制信号的流像素接口,每个Vision HDL Toolbox块或对象在行开始或帧开始信号处对一个新的像素段开始计算。无论块或对象是否接收到前一段的结束信号,都将进行计算。

该协议容忍轻微的定时错误。如果启动信号之间的有效和无效周期数不同,则块或对象继续正确操作。一些Vision HDL Toolbox块和对象需要最小的水平空白区域来容纳内存缓冲区操作。有关更多信息,请参见配置冲裁间隔

使用块和系统对象的像素流转换

在仿真金宝app软件®,使用帧到像素块,将有框的视频数据转换为符合此协议的像素流和控制信号。控制信号分组在一种称为pixelcontrol.您可以配置块以返回每个周期1、4或8个像素的像素流。

在MATLAB®,使用visionhdl。FrameToPixels对象将有框视频数据转换为符合此协议的像素流和控制信号。控制信号以结构数据类型分组。您可以配置该对象以创建每个周期1、4或8个像素的像素流。

如果您的输入视频已经是串行格式,您可以设计自己的生成逻辑pixelcontrol来自现有串行控制方案的控制信号。例如,请参见转换相机控制信号像素控制格式而且集成视觉HDL块到相机链接系统

金宝app支持的像素数据类型

Vision HDL工具箱块和对象包括用于流像素数据的端口或参数。每个块和对象都支持一种或多种像素格式。金宝app支持的格金宝app式因块或对象执行的操作而异。这个表格详细说明了visual HDL工具箱支持的常见视频格式。金宝app

视频类型 像素格式
二进制 每个像素都由单个像素表示布尔逻辑价值。用于真正的黑白视频。
灰度 每个像素由亮度,为gamma校正后的亮度值。该像素是单个无符号整数或定点值。
颜色

每个像素由2到4个无符号整数或定点值表示,这些值表示像素的颜色分量。Vision HDL工具箱块和对象使用伽玛校正的颜色空间,如R' g ' b '和Y' cbcr。

若要处理不支持多组件输入的块的多组件流,请为每个组件复制该块。金宝app的pixelcontrol所有组件的总线都是相同的,因此您可以将单个总线连接到多个复制块。

要设置彩色视频的多像素流,可以配置帧到像素块返回多组件和多像素流。看到多像素多组件视频流

视觉HDL工具箱块有一个输入或输出端口,像素,为像素数据。visualhdl工具箱系统对象期望或返回表示像素数据的参数。像素数据格式如下表所示。

端口或参数 描述 数据类型
像素
  • 单像素流——表示二进制或灰度像素值的标量,或表示颜色像素的2到4个值的行向量

  • 多像素流-四或八个像素值的列向量

  • 多像素-多组件流-由2到4个颜色组件组成的4或8个像素值矩阵。

您可以使用多像素流接口模拟系统对象,但是HDL代码生成不支持使用多像素流的系统对象。金宝app使用等效块为多像素算法生成HDL代码。

金宝app支持的数据类型包括:

  • 布尔逻辑

  • 使用uintint

  • fixdt ()

而且数据类型支持模拟,但不支持HD金宝appL代码生成。

请注意

这些块支持多像素流。金宝app

这些块支持多像素多组件流。金宝app

流媒体像素控制信号

Vision HDL工具箱块和对象包括与每个像素相关的控制信号的端口或参数。这五个控制信号指示像素的有效性及其在帧中的位置。对于多像素流,每个像素值向量都有一组控制信号。

在Si金宝appmulink中,控制信号端口是一种称为非虚拟总线的数据类型pixelcontrol.有关总线数据类型的详细信息,请参见像素控制总线

在MATLAB中,控制信号参数是一个结构。有关结构数据类型的详细信息,请参见像素控制结构

单像素串行接口时序图

为了说明流式像素协议,这个示例将帧转换为控制和数据信号序列。考虑一个2 × 3像素的图像。为了模拟消隐间隔,配置序列化图像以包括活动图像周围这些区域的非活动像素:

  • 1像素宽的后门廊

  • 2像素宽的前廊

  • 在第一个活动线路前1行

  • 在最后一行活动后的第一行

属性配置活动区域和非活动区域的尺寸帧到像素块或visionhdl。FrameToPixels对象。

在图中,活动图像区域位于虚线矩形中,非活动像素围绕它。像素用它们的灰度值标记。

块或对象从左到右序列化图像,每次一行。时序图显示了与该图像相对应的控制信号和像素数据,这是该图像的串行输出帧到像素块,配置为单像素流。

的例子帧到像素块来序列化图像,参见在Simulink中设计HDL视频处理算法金宝app

的例子FrameToPixels对象序列化图像,请参见在MATLAB中设计一个基于硬件的图像滤波器

多像素串行接口时序图

这个例子将一个帧转换为一个多像素流,每个周期有4个像素和相应的控制信号。考虑一个64像素宽的框架,活动图像周围有这些非活动区域。

  • 4像素宽的后门廊

  • 4像素宽的前廊

  • 在第一行活动前4行

  • 在最后一行活动后的4行

帧到像素为多像素流配置的块返回由帧中从左到右的每一行像素组成的像素向量。这个图表显示了框架的左上角。灰色像素表示帧的活动区域,零值像素表示空白像素。每个活动像素上的标签表示像素在帧中的位置。突出显示的方框显示了在一个周期上流的像素集。非活动区域的像素也一次流化四个。灰色框显示了活动帧开始之前循环的四个空白像素。蓝色方框显示帧的第一个有效循环上的四个像素值,橙色方框显示帧的第二个有效循环上的四个像素值。绿色方框显示下一个活动行的前四个像素。

此波形显示同一帧的第一行的多像素流数据和控制信号,每个周期流4个像素。的pixelcontrol应用于每组四个像素值的信号显示在数据信号下面。因为向量只有1有效的信号,向量中的像素要么全部有效,要么全部无效。的hStart而且音速启动信号应用于向量中下标最低的像素。的这个到而且公开发表信号应用于向量中索引最高的像素。

在所显示的时间段之前,初始垂直消隐像素一次流四个,所有控制信号设置为.该波形显示图像第一行的像素流。灰色、蓝色和橙色框对应于框架图中突出显示的区域。在第一行完成后,流有两个包含8个无效像素的水平消隐循环(前廊和后廊)。然后,波形显示流中的下一行,从绿色方框开始。

有关使用多像素流的示例模型,请参见过滤多像素视频流

另请参阅

|||

相关的话题