主要内容

金宝appSimulink.sdi.getSignalInputProcessingMode

得到输入信号处理财产的价值

描述

例子

inputMode= 金宝appSimulink.sdi.getSignalInputProcessingMode (blkPath,港口)返回的值输入处理属性指定的块产生的信号和端口。的输入处理属性指定是否记录信号作为纸浆包或框架。

例子

inputMode= 金宝appSimulink.sdi.getSignalInputProcessingMode (h)返回的值输入处理属性指定的行相对应的信号处理,h

例子

全部折叠

检查的价值输入处理属性的输出信号获得μ在模型中vdp使用块路径和索引的输出端口产生信号。

open_system (“vdp”)MuInputProc = S金宝appimulink.sdi.getSignalInputProcessingMode (的vdp /亩1);

检查的价值输入处理属性的输出信号获得μ在模型中vdp使用线处理的信号。

open_system (“vdp”)MuLineHandles = get_param (的vdp /亩,“LineHandles”);MuOutputHandle = MuLineHandles.Outport;MuInputProc = 金宝appSimulink.sdi.getSignalInputProcessingMode (MuOutputHandle);

输入参数

全部折叠

块路径产生信号,指定为一个字符串或一个字符向量。

例子:“vdp /μ”

索引块输出端口产生信号,指定为一个数字标量。

例子:1

信号,指定为一条线处理。行处理的信号,使用get_param函数与“LineHandles”选择。例如,访问行处理的输出μ块模型中vdp使用:

MuLineHandles = get_param (的vdp /亩,“LineHandles”);MuOutputLineHandle = MuLineHandles.Outport;

输出参数

全部折叠

输入处理用于信号返回“样本”“帧”

信号输入处理设置。

  • “样本”——样品中每一个元素都被视为一个频道。

  • 框架——样品中每一列都被视为一个频道。

版本历史

介绍了R2020a