主要内容

FPGA数据捕获

从现场FPGA捕获信号数据

当设计在FPGA上运行时,使用FPGA数据捕获来观察来自设计的信号。该特性从FPGA捕获信号数据窗口,并将数据返回到MATLAB®或仿真金宝app软件®.为了捕获信号,HDL Verifier™生成一个IP核,您必须将该IP核集成到您的HDL项目中,并与您的其余设计一起部署到FPGA。HDL验证器还生成一个应用程序,系统对象™和Simulink模型,与FPGA通信并将数据返回到MATLAB或Si金宝appmulink。

采集FPGA数据:

  1. 生成定制组件和IP核。为生成的IP指定端口名称和大小。这些端口连接到您想要捕获的信号,以及您想要用作触发器来控制何时发生捕获的信号。

  2. 将生成的IP集成到您的FPGA设计中,并将设计部署到您的FPGA板上。

  3. 使用生成的应用程序、System对象或Simulink模型捕获数据进行分析、验证或金宝app显示。您可以配置一个触发器条件来控制何时发生捕获。

看到数据采集流程

工具

FPGA数据捕获组件生成器 配置和生成FPGA数据捕获组件
FPGA数据捕获 从现场FPGA捕获数据到MATLAB工作空间交互

功能

hdlverifier。FPGADataReader 从现场FPGA捕获数据到MATLAB工作空间

FPGA数据阅读器 从现场FPGA捕获数据到金宝app模型

主题

数据采集流程

从运行在FPGA上的设计中捕获信号数据的高级步骤。

触发器

使用触发条件捕获FPGA上特定事件周围的数据。

数据捕获的设计注意事项

FPGA数据捕获的信号、时序和JTAG限制。

特色的例子