主要内容

このペ,ジの翻訳は最新ではありません。ここをクリックして,英語の最新版を参照してください。

ブロック固有のパラメタ

モデルを作成および変更するスクリプトを記述するには,関数get_paramと関数set_paramを使用して,ブロックまたはブロック線図のプロパティとパラメ,タ,をクエリおよび変更できます。次の表を使用して,ブロックのダイアログボックスのパラメーターまたはプロパティのプログラム上の名前を確認します。

ブロックおよびモデルのプログラム上のパラメタ

モデルを記述するプログラム上のパラメ,タ,は“モデルパラメ,タ,”と呼ばれます。ブロックを記述するパラメーターは“ブロックパラメ,タ,”と呼ばれます。すべての Simulink®ブロックに共通するパラメ,タ,は“共通のブロックパラメ,タ,”と呼ばれます(共通のブロックプロパティを参照)。多くのブロックには固有の“ブロック固有パラメ,タ,”もあります。マスクされたブロックは“マスクパラメ,タ,”をもことができます(マスクパラメタを参照)。

モデルおよびブロックのプロパティにはコ,ルバックも含まれています。これは,モデルやブロックにおいて特定の。これらのイベントには,モデルを開く,モデルをシミュレートする,ブロックをコピーする,ブロックを開くなどがあります。モデル,ブロックおよび端子のコ,ルバックを参照してください。

ヒント

配列値を受け入れるブロックパラメ,タ,の場合,配列の要素数はint_Tが表す数を超えることはできません。この制限は,シミュレ,ションと金宝app仿真软件编码器™コ,ド生成の両方に適用されます。

パラメ、タ、の編集フィ、ルドに含めることができる最大文字数は4.9万です。

ブロック固有のパラメ,タ,とプログラム上の等価機能

以下の表に,金宝appSimulinkブロックのブロック固有パラメ,タ,を示します。ブロックのタ@ @プはブロック名の後の小かっこの中に表示されます。金宝appSimulinkブロックの中には,マスクサブシステムとして機能するものもあります。表では,マスクブロックはブロックタイプの後ろに“蒙面子系统”を付けて示しています。

非マスクブロックにいてリストされているタプは,BlockTypeパラメ、タ、の値です(共通のブロックプロパティを参照)。マスクブロックにいてリストされているタプは,MaskTypeパラメ、タ、の値です(マスクパラメタを参照)。

ダ@ @アログボックスプロンプト“の列には,ブロックのダイアログボックスのパラメーターに対するプロンプトテキストを示しています。「値」の列には,必要な値のタイプ(スカラー,ベクトル,変数),(垂直バーで区切った)設定可能な値,および(中かっこで囲んだ)既定値が示してあります。

连续ラブラリブロックパラメタ

ブロック(タプ)/パラメ ダ@ @アログボックスプロンプト

导数(导数)

CoefficientInTFapproximation

線形化に使用される伝達関数近似s / (c * s + 1)の係数c

{' inf '}

积分器(集成商)

ExternalReset

外部リセット

{'none'} | '上升' | '下降' | 'either' | 'level' | 'level hold'

InitialConditionSource

初期条件のソ,ス

{'internal'} | 'external'

InitialCondition

初期条件

スカラまたはベクトル-{' 0 '}

LimitOutput

出力を制限する

{'off'} | 'on'

UpperSaturationLimit

飽和の上限

スカラまたはベクトル-{' inf '}

LowerSaturationLimit

飽和の下限

スカラまたはベクトル-{“无穷”}

ShowSaturationPort

飽和端子の表示

{'off'} | 'on'

ShowStatePort

状態端子の表示

{'off'} | 'on'

AbsoluteTolerance

絶対許容誤差

文字ベクトル,スカラ,ベクトル-{'汽车'}|{' 1 '}|任意の実数のスカラ,またはベクトル

IgnoreLimit

線形化時に出力制限とリセットの設定を無視

{'off'} | 'on'

ZeroCross

ゼロクロッシング検知を利用

'off' | {'on'}

ContinuousStateAttributes

状態名

{"}|ユザ定義

WrapState

状態のラップ

{'off'} | 'on'

WrappedStateUpperValue

ラップした状態の上限値

スカラまたはベクトル-{“π”}

WrappedStateLowerValue

ラップした状態の下限値

スカラまたはベクトル-{' -π'}

二阶积分器(SecondOrderIntegrator)

ICSourceX

初期条件ソスx .

{“内部”}|“外部”

ICX

初期条件x

スカラまたはベクトル-{' 0 '}

LimitX

制限x

{‘off’}|“上”

UpperLimitX

上限x

スカラまたはベクトル-{' inf '}

LowerLimitX

下限x

スカラまたはベクトル-{“无穷”}

WrapStateX

Xのラッピングの有効化

{'off'} | 'on'

WrappedUpperValueX

Xをラッピングするための上限値

スカラまたはベクトル-{“π”}

WrappedLowerValueX

Xをラッピングするための下限値

スカラまたはベクトル-{' -π'}

AbsoluteToleranceX

絶対許容誤差x

文字ベクトル,スカラ,ベクトル-{'汽车'}|{' 1 '}|任意の実数のスカラ,またはベクトル

StateNameX

状態名x

{}|ユザ定義

ICSourceDXDT

初期条件ソスdx/dt

{“内部”}|“外部”

ICDXDT

初期条件dx/dt

スカラまたはベクトル-{' 0 '}

LimitDXDT

制限dx/dt

{‘off’}|“上”

UpperLimitDXDT

上限dx/dt

スカラまたはベクトル-{' inf '}

LowerLimitDXDT

下限dx/dt

スカラまたはベクトル-{“无穷”}

AbsoluteToleranceDXDT

絶対許容誤差dx/dt

文字ベクトル,スカラ,ベクトル-{'汽车'}|{' 1 '}|任意の実数のスカラ,またはベクトル

StateNameDXDT

状態名dx/dt

{}|ユザ定義

ExternalReset

外部リセット

{'没有'}|“上升”|“下降”|“不是”

ZeroCross

ゼロクロッシング検出を有効にする

{'在'}|“关闭”

ReinitDXDTwhenXreachesSaturation

Xが飽和に達したときにdx/dtを再初期化

{‘off’}|“上”

IgnoreStateLimitsAndResetForLinearization

状態制限を無視し,線形化のためにリセット

{‘off’}|“上”

ShowOutput

出力の表示

{‘都’}|“x”|“dxdt”

状态方程(StateSpace)

一个

一个

行列-{' 1 '}

B

B

行列-{' 1 '}

C

C

行列-{' 1 '}

D

D

行列-{' 1 '}

InitialCondition

初期条件

ベクトル-{' 0 '}

AbsoluteTolerance

絶対許容誤差

文字ベクトル,スカラ,ベクトル-{'汽车'}|{' 1 '}|任意の実数のスカラ,またはベクトル

ContinuousStateAttributes

状態名

{"}|ユザ定義

转移Fcn(TransferFcn)

分子

分子係数

ベクトルまたは行列-{' [1]}

分母

分母係数

ベクトル-{' [1]}

AbsoluteTolerance

絶対許容誤差

文字ベクトル,スカラ,ベクトル-{'汽车'}|{' 1 '}|任意の実数のスカラ,またはベクトル

ContinuousStateAttributes

状態名

{"}|ユザ定義

运输延迟(TransportDelay)

延迟时间

時間遅延

スカラまたはベクトル-{' 1 '}

InitialOutput

初期出力

スカラまたはベクトル-{' 0 '}

BufferSize

初期バッファサズ

スカラ-”{1024}

FixedBuffer

固定バッファサズを使用

{'off'} | 'on'

TransDelayFeedthrough

線形化中に入力を直達する

{'off'} | 'on'

PadeOrder

パデ次数(線形化用)

{' 0 '}

可变时延(VariableTimeDelay)

VariableDelayType

遅延タ@ @プの選択

'可变传输延迟' |{'可变时间延迟'}

MaximumDelay

最大遅延

スカラまたはベクトル-{“10”}

InitialOutput

初期出力

スカラまたはベクトル-{' 0 '}

MaximumPoints

初期バッファサズ

スカラ-”{1024}

FixedBuffer

固定バッファサズを使用

{'off'} | 'on'

ZeroDelay

0遅延を扱う

{'off'} | 'on'

TransDelayFeedthrough

線形化中に入力を直達する

{'off'} | 'on'

PadeOrder

パデ次数(線形化用)

{' 0 '}

ContinuousStateAttributes

状態名

{"}|ユザ定義

可变运输延迟(VariableTransportDelay)

VariableDelayType

遅延タ@ @プの選択

{'可变传输延迟'}| '可变时间延迟'

MaximumDelay

最大遅延

スカラまたはベクトル-{“10”}

InitialOutput

初期出力

スカラまたはベクトル-{' 0 '}

MaximumPoints

初期バッファサズ

スカラ-”{1024}

FixedBuffer

固定バッファサズを使用

{'off'} | 'on'

TransDelayFeedthrough

線形化中に入力を直達する

{'off'} | 'on'

PadeOrder

パデ次数(線形化用)

{' 0 '}

AbsoluteTolerance

絶対許容誤差

文字ベクトル,スカラ,ベクトル-{'汽车'}|{' 1 '}|任意の正の実数のスカラ,またはベクトル

ContinuousStateAttributes

状態名

{"}|ユザ定義

Zero-Pole(ZeroPole)

0

零点

ベクトル-{' [1]}

波兰人

ベクトル-{' [0 1]}

获得

ゲereplicationン

ベクトル-{' [1]}

AbsoluteTolerance

絶対許容誤差

文字ベクトル,スカラ,ベクトル-{'汽车'}|{' 1 '}|任意の正の実数のスカラ,またはベクトル

ContinuousStateAttributes

状態名

{"}|ユザ定義

不连续度ラブラリブロックパラメタ

ブロック(タプ)/パラメ

ダ@ @アログボックスプロンプト

强烈反对(反对)

BacklashWidth

不感帯幅

スカラまたはベクトル-{' 1 '}

InitialOutput

初期出力

スカラまたはベクトル-{' 0 '}

ZeroCross

ゼロクロッシング検出を有効にする

'off' | {'on'}

SampleTime

サンプル時間(継承は-1)

{' 1 '}

库仑和粘性摩擦(库仑摩擦和粘性摩擦)(マスクサブシステム)

抵消

ク,ロン摩擦値(オフセット)

{'[1 3 2 0]'}

获得

粘性摩擦係数(ゲaapl .ン)

{' 1 '}

死区(死区)

LowerValue

不感帯の下限

スカラまたはベクトル-{' -0.5 '}

UpperValue

不感帯の上限

スカラまたはベクトル-{' 0.5 '}

SaturateOnIntegerOverflow

整数オ,バ,フロ,で飽和

'off' | {'on'}

LinearizeAsGain

線形化時にゲ@ @ンとして扱う

'off' | {'on'}

ZeroCross

ゼロクロッシング検出を有効にする

'off' | {'on'}

SampleTime

サンプル時間(継承は-1)

{' 1 '}

死区动态(死区动态)(マスクサブシステム)

打穿越(HitCross)

HitCrossingOffset

ヒットクロッシングオフセット

スカラまたはベクトル-{' 0 '}

HitCrossingDirection

ヒットクロッシング方向

'上升' | '下降' | {'either'}

ShowOutputPort

出力端子の表示

'off' | {'on'}

ZeroCross

ゼロクロッシング検出を有効にする

'off' | {'on'}

SampleTime

サンプル時間(継承は-1)

{' 1 '}

量化器(量化器)

QuantizationInterval

量子化間隔

スカラまたはベクトル-{' 0.5 '}

LinearizeAsGain

線形化時にゲ@ @ンとして扱う

'off' | {'on'}

SampleTime

サンプル時間(継承は-1)

{' 1 '}

速度限制器(RateLimiter)

RisingSlewLimit

スル,レ,トの立,上がり

{' 1 '}

FallingSlewLimit

スル,レ,トの立,下がり

{' 1 '}

SampleTimeMode

サンプル時間モ,ド

'continuous' | {'inherited'}

InitialCondition

初期条件

{' 0 '}

LinearizeAsGain

線形化時にゲ@ @ンとして扱う

'off' | {'on'}

速率限制器动态(动态限速器)(マスクサブシステム)

继电器(继电器)

OnSwitchValue

ス▪▪ッチオンポ▪▪ント

{“每股收益”}

OffSwitchValue

ス▪▪ッチオフポ▪▪ント

{“每股收益”}

OnOutputValue

オンの時の出力

{' 1 '}

OffOutputValue

オフの時の出力

{' 0 '}

ZeroCross

ゼロクロッシング検出を有効にする

'off' | {'on'}

SampleTime

サンプル時間(継承は-1)

{' 1 '}

OutMin

出力の最小値

{“[]”}

OutMax

出力の最大値

{“[]”}

OutDataTypeStr

出力デ,タ型

'继承:通过反向传播继承' |{'继承:所有端口相同的数据类型'}| '双' | '单' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16)' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)' | 'Enum: <类名>'

LockScale

固定小数点

{'off'} | 'on'

饱和(饱和)

UpperLimit

上限

スカラまたはベクトル-{' 0.5 '}

LowerLimit

下限

スカラまたはベクトル-{' -0.5 '}

LinearizeAsGain

線形化時にゲ@ @ンとして扱う

'off' | {'on'}

ZeroCross

ゼロクロッシング検出を有効にする

'off' | {'on'}

SampleTime

サンプル時間(継承は-1)

{' 1 '}

OutMin

出力の最小値

{“[]”}

OutMax

出力の最大値

{“[]”}

OutDataTypeStr

出力デ,タ型

'继承:通过反向传播继承' |{'继承:与输入相同'}| '双' | '单' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

LockScale

固定小数点

{'off'} | 'on'

RndMeth

整数丸めモ,ド

“天花板”|“收敛”|{“地板”}|“最近”|“圆”|“最简单”|“零”

饱和动态(饱和动态)(マスクサブシステム)

OutMin

出力の最小値

{“[]”}

OutMax

出力の最大値

{“[]”}

OutDataTypeStr

出力デ,タ型

{'Inherit: Same as second input'} | 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

OutputDataTypeScalingMode

R2007bで廃止

OutDataType

R2007bで廃止

OutScaling

R2007bで廃止

LockScale

固定小数点

{'off'} | 'on'

RndMeth

整数丸めモ,ド

“天花板”|“收敛”|{“地板”}|“最近”|“圆”|“最简单”|“零”

DoSatur

整数オ,バ,フロ,で飽和

{'off'} | 'on'

归零包装(包装到零)(マスクサブシステム)

阈值

しきい値

”{255}

离散ラブラリブロックパラメタ

ブロック(タプ)/パラメ ダ@ @アログボックスプロンプト

延迟(延迟)

DelayLengthSource

遅延の長さ>ソ,ス

{'Dialog'} | '输入端口'

DelayLength

遅延の長さ>値

{' 2 '}

DelayLengthUpperLimit

遅延の長さ>上限

”{100}

InitialConditionSource

初期条件>ソ,ス

{'Dialog'} | '输入端口'

InitialCondition

初期条件 > 値

{' 0.0 '}

ExternalReset

外部リセット

{'None'} | '上升' | '下降' | '或' | '级别' | '级别保持'

InputProcessing

入力処理

'作为通道的列(基于帧)' |{'作为通道的元素(基于样本)'}| '继承'

UseCircularBuffer

リングバッファ,を使用

{'off'} | 'on'

PreventDirectFeedthrough

直達の防止

{'off'} | 'on'

RemoveDelayLengthCheckInGeneratedCode

生成コ,ド内の遅延の長さのチェックを削除

{'off'} | 'on'

DiagnosticForDelayLength

遅延の長さの診断

{'None'} | '警告' | '错误'

SampleTime

サンプル時間(継承は-1)

{' 1 '}

StateName

状態名

{"}

StateMustResolveToSignalObject

状態名をS金宝appimulinkの信号オブジェクトに関連付ける

{'off'} | 'on'

StateSignalObject

信号オブジェクトクラス

コ,ド生成ストレ,ジクラス

金宝app仿真软件。信号オブジェクト

金宝app仿真软件。信号から派生したクラスのオブジェクト

StateStorageClass

コ,ド生成ストレ,ジクラス

{'Auto'} | '模型默认' | 'ExportedGlobal' | 'ImportedExtern' | 'ImportedExternPointer' | '自定义'

CodeGenStateStorageTypeQualifier

コ,ド生成ストレ,ジ型修飾子

{"}

区别(差异)(蒙面子系统)

ICPrevInput

前の入力の初期条件

{' 0.0 '}

OutMin

出力の最小値

{“[]”}

OutMax

出力の最大値

{“[]”}

OutDataTypeStr

出力デ,タ型

{'继承:通过内部规则继承'}| '继承:通过反向传播继承' | '双' | '单' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

OutputDataTypeScalingMode

R2007bで廃止

OutDataType

R2007bで廃止

OutScaling

R2007bで廃止

LockScale

固定小数点

{'off'} | 'on'

RndMeth

整数丸めモ,ド

“天花板”|“收敛”|{“地板”}|“最近”|“圆”|“最简单”|“零”

DoSatur

オ,バ,フロ,の場合,最大値または最小値に飽和

{'off'} | 'on'

离散微分(离散微分)(マスクサブシステム)

gainval

ゲereplicationン値

{' 1.0 '}

ICPrevScaledInput

前の重み付き入力K*u/Tsの初期条件

{' 0.0 '}

OutMin

出力の最小値

{“[]”}

OutMax

出力の最大値

{“[]”}

OutDataTypeStr

出力デ,タ型

{'继承:通过内部规则继承'}| '继承:通过反向传播继承' | '双' | '单' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

OutputDataTypeScalingMode

R2007bで廃止

OutDataType

R2007bで廃止

OutScaling

R2007bで廃止

LockScale

固定小数点

{'off'} | 'on'

RndMeth

整数丸めモ,ド

“天花板”|“收敛”|{“地板”}|“最近”|“圆”|“最简单”|“零”

DoSatur

オ,バ,フロ,の場合,最大値または最小値に飽和

{'off'} | 'on'

离散FIR滤波器(离散FIR滤波器)

CoefSource

係数のソ,ス

{'对话框参数'}| '输入端口'

FilterStructure

フィルタ,構造

{'直接形式'}| '直接形式对称' | '直接形式反对称' | '直接形式转置' | '点阵MA'

メモ

直接的形式以外のフィルター構造を使用するには,DSP系统工具箱™のライセンスが必要になります。

系数

係数

ベクトル-{' [0.5 - 0.5]}

InputProcessing

入力処理

'作为通道的列(基于帧)' |{'作为通道的元素(基于样本)'}

InitialStates

初期状態

スカラまたはベクトル-{' 0 '}

SampleTime

サンプル時間(継承は-1)

{' 1 '}

CoefMin

係数の最小値

{“[]”}

CoefMax

係数の最大値

{“[]”}

OutMin

出力の最小値

{“[]”}

OutMax

出力の最大値

{“[]”}

TapSumDataTypeStr

タップの加算のデ,タ型

{'Inherit: Same as input'} | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16,0)'

CoefDataTypeStr

係数のデ,タ型

{'继承:与输入字长相同'}| 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16)' | 'fixdt(1,16,0)'

ProductDataTypeStr

乗算出力のデ,タ型

{'继承:通过内部规则继承'}| '继承:与输入' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16,0)'

AccumDataTypeStr

アキュムレ,タのデ,タ型

{'继承:通过内部规则继承'}| '继承:与输入' | '相同继承:与产品输出' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16,0)'

StateDataTypeStr

状態のデ,タ型

'继承:与输入相同' |{'继承:与累加器相同'}| 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16,0)'

OutDataTypeStr

出力デ,タ型

'继承:与输入相同' |{'继承:与累加器相同'}| 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16)' | 'fixdt(1,16,0)'

LockScale

固定小数点

{'off'} | 'on'

RndMeth

整数丸めモ,ド

“天花板”|“收敛”|{“地板”}|“最近”|“圆”|“最简单”|“零”

SaturateOnIntegerOverflow

整数オ,バ,フロ,で飽和

{'off'} | 'on'

离散滤波器(DiscreteFilter)

分子

分子係数

ベクトル-{' [1]}

分母

分母係数

ベクトル-{' 0.5 [1]}

集成电路

初期状態

{' 0 '}

SampleTime

サンプル時間(継承は-1)

{' 1 '}

a0EqualsOne

最初の分母係数(a0)を正規化することで最適化する

{'off'} | 'on'

NumCoefMin

分子係数の最小値

{“[]”}

NumCoefMax

分子係数の最大値

{“[]”}

DenCoefMin

分母係数の最小値

{“[]”}

DenCoefMax

分母係数の最大値

{“[]”}

OutMin

出力の最小値

{“[]”}

OutMax

出力の最大値

{“[]”}

StateDataTypeStr

状態のデ,タ型

{'继承:与输入相同'}| 'int8' | 'int16' | 'int32' | 'fixdt(1,16,0)'

NumCoefDataTypeStr

分子係数のデ,タ型

{'继承:通过内部规则继承'}| 'int8' | 'int16' | 'int32' | 'fixdt(1,16)' | 'fixdt(1,16,0)'

DenCoefDataTypeStr

分母係数のデ,タ型

{'继承:通过内部规则继承'}| 'int8' | 'int16' | 'int32' | 'fixdt(1,16)' | 'fixdt(1,16,0)'

NumProductDataTypeStr

分子係数の乗算出力のデ,タ型

{'继承:通过内部规则继承'}| '继承:与输入' | 'int8' | 'int16' | 'int32' | 'fixdt(1,16,0)'

DenProductDataTypeStr

分母係数の乗算出力のデ,タ型

{'继承:通过内部规则继承'}| '继承:与输入' | 'int8' | 'int16' | 'int32' | 'fixdt(1,16,0)'

NumAccumDataTypeStr

分子係数のアキュムレ,タのデ,タ型

{'继承:通过内部规则继承'}| '继承:与输入' | '相同继承:与产品输出' | 'int8' | 'int16' | 'int32' | 'fixdt(1,16,0)'

DenAccumDataTypeStr

分母係数のアキュムレ,タのデ,タ型

{'继承:通过内部规则继承'}| '继承:与输入' | '相同继承:与产品输出' | 'int8' | 'int16' | 'int32' | 'fixdt(1,16,0)'

OutDataTypeStr

出力デ,タ型

{'继承:通过内部规则继承'}| '继承:与输入' | 'int8' | 'int16' | 'int32' | 'fixdt(1,16,0)'

LockScale

固定小数点

{'off'} | 'on'

RndMeth

整数丸めモ,ド

“天花板”|“收敛”|{“地板”}|“最近”|“圆”|“最简单”|“零”

SaturateOnIntegerOverflow

整数オ,バ,フロ,で飽和

{'off'} | 'on'

StateName

状態名

{"}

StateMustResolveToSignalObject

状態名をS金宝appimulinkの信号オブジェクトに関連付ける

{'off'} | 'on'

StateSignalObject

信号オブジェクトクラス

コ,ド生成ストレ,ジクラス

金宝app仿真软件。信号オブジェクト

金宝app仿真软件。信号から派生したクラスのオブジェクト

StateStorageClass

コ,ド生成ストレ,ジクラス

{'Auto'} | '模型默认' | 'ExportedGlobal' | 'ImportedExtern' | 'ImportedExternPointer' | '自定义'

RTWStateStorageTypeQualifier

コ,ド生成ストレ,ジ型修飾子

{"}

离散状态空间(DiscreteStateSpace)

一个

一个

行列-{' 1 '}

B

B

行列-{' 1 '}

C

C

行列-{' 1 '}

D

D

行列-{' 1 '}

InitialCondition

初期条件

ベクトル-{' 0 '}

SampleTime

サンプル時間(継承は-1)

{' 1 '}

StateName

状態名

{"}

StateMustResolveToSignalObject

状態名をS金宝appimulinkの信号オブジェクトに関連付ける

{'off'} | 'on'

StateSignalObject

信号オブジェクトクラス

コ,ド生成ストレ,ジクラス

金宝app仿真软件。信号オブジェクト

金宝app仿真软件。信号から派生したクラスのオブジェクト

StateStorageClass

コ,ド生成ストレ,ジクラス

{'Auto'} | '模型默认' | 'ExportedGlobal' | 'ImportedExtern' | 'ImportedExternPointer' | '自定义'

RTWStateStorageTypeQualifier

コ,ド生成ストレ,ジ型修飾子

{"}

离散传输Fcn(DiscreteTransferFcn)

分子

分子係数

ベクトル-{' [1]}

分母

分母係数

ベクトル-{' 0.5 [1]}

InitialStates

初期状態

{' 0 '}

SampleTime

サンプル時間(継承は-1)

{' 1 '}

a0EqualsOne

最初の分母係数(a0)を正規化することで最適化する

{'off'} | 'on'

NumCoefMin

分子係数の最小値

{“[]”}

NumCoefMax

分子係数の最大値

{“[]”}

DenCoefMin

分母係数の最小値

{“[]”}

DenCoefMax

分母係数の最大値

{“[]”}

OutMin

出力の最小値

{“[]”}

OutMax

出力の最大値

{“[]”}

StateDataTypeStr

状態のデ,タ型

{'继承:与输入相同'}| 'int8' | 'int16' | 'int32' | 'fixdt(1,16,0)'

NumCoefDataTypeStr

分子係数のデ,タ型

{'继承:通过内部规则继承'}| 'int8' | 'int16' | 'int32' | 'fixdt(1,16,0)'

DenCoefDataTypeStr

分母係数のデ,タ型

{'继承:通过内部规则继承'}| 'int8' | 'int16' | 'int32' | 'fixdt(1,16,0)'

NumProductDataTypeStr

分子係数の乗算出力のデ,タ型

{'继承:通过内部规则继承'}| '继承:与输入' | 'int8' | 'int16' | 'int32' | 'fixdt(1,16,0)'

DenProductDataTypeStr

分母係数の乗算出力のデ,タ型

{'继承:通过内部规则继承'}| '继承:与输入' | 'int8' | 'int16' | 'int32' | 'fixdt(1,16,0)'

NumAccumDataTypeStr

分子係数のアキュムレ,タのデ,タ型

{'继承:通过内部规则继承'}| '继承:与输入' | '相同继承:与产品输出' | 'int8' | 'int16' | 'int32' | 'fixdt(1,16,0)'

DenAccumDataTypeStr

分母係数のアキュムレ,タのデ,タ型

{'继承:通过内部规则继承'}| '继承:与输入' | '相同继承:与产品输出' | 'int8' | 'int16' | 'int32' | 'fixdt(1,16,0)'

OutDataTypeStr

出力デ,タ型

{'继承:通过内部规则继承'}| '继承:与输入' | 'int8' | 'int16' | 'int32' | 'fixdt(1,16,0)'

LockScale

固定小数点

{'off'} | 'on'

RndMeth

整数丸めモ,ド

“天花板”|“收敛”|{“地板”}|“最近”|“圆”|“最简单”|“零”

SaturateOnIntegerOverflow

整数オ,バ,フロ,で飽和

{'off'} | 'on'

StateName

状態名

{"}

StateMustResolveToSignalObject

状態名をS金宝appimulinkの信号オブジェクトに関連付ける

{'off'} | 'on'

StateSignalObject

信号オブジェクトクラス

コ,ド生成ストレ,ジクラス

金宝app仿真软件。信号オブジェクト

金宝app仿真软件。信号から派生したクラスのオブジェクト

StateStorageClass

コ,ド生成ストレ,ジクラス

{'Auto'} | '模型默认' | 'ExportedGlobal' | 'ImportedExtern' | 'ImportedExternPointer' | '自定义'

RTWStateStorageTypeQualifier

コ,ド生成ストレ,ジ型修飾子

{"}

离散Zero-Pole(DiscreteZeroPole)

0

零点

ベクトル-{' [1]}

波兰人

ベクトル-{' 0.5 [0]}

获得

ゲereplicationン

{' 1 '}

SampleTime

サンプル時間(継承は-1)

{' 1 '}

StateName

状態名

{"}

StateMustResolveToSignalObject

状態名をS金宝appimulinkの信号オブジェクトに関連付ける

{'off'} | 'on'

StateSignalObject

信号オブジェクトクラス

コ,ド生成ストレ,ジクラス

金宝app仿真软件。信号オブジェクト

金宝app仿真软件。信号から派生したクラスのオブジェクト

StateStorageClass

コ,ド生成ストレ,ジクラス

{'Auto'} | '模型默认' | 'ExportedGlobal' | 'ImportedExtern' | 'ImportedExternPointer' | '自定义'

RTWStateStorageType
限定符

コ,ド生成ストレ,ジ型修飾子

{"}

离散时间积分器(DiscreteIntegrator

IntegratorMethod

積分手法

{'积分:前向欧拉'}| '积分:后向欧拉' | '积分:梯形' | '积累:前向欧拉' | '积累:后向欧拉' | '积累:梯形'

gainval

ゲereplicationン値

{' 1.0 '}

ExternalReset

外部リセット

{'none'} | '上升' | '下降' | 'either' | 'level' | '采样电平'

InitialConditionSource

初期条件のソ,ス

{'internal'} | 'external'

InitialCondition

初期条件

スカラまたはベクトル-{' 0 '}

InitialConditionSetting

初期条件設定

{'状态(最有效)'}| '输出' | '兼容性'

SampleTime

サンプル時間(継承は-1)

{' 1 '}

LimitOutput

出力を制限する

{'off'} | 'on'

UpperSaturationLimit

飽和の上限

スカラまたはベクトル-{' inf '}

LowerSaturationLimit

飽和の下限

スカラまたはベクトル-{“无穷”}

ShowSaturationPort

飽和端子の表示

{'off'} | 'on'

ShowStatePort

状態端子の表示

{'off'} | 'on'

IgnoreLimit

線形化時に出力制限とリセットの設定を無視

{'off'} | 'on'

OutMin

出力の最小値

{“[]”}

OutMax

出力の最大値

{“[]”}

OutDataTypeStr

出力デ,タ型

{'继承:通过内部规则继承'}| '继承:通过反向传播继承' | '双' | '单' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

LockScale

固定小数点

{'off'} | 'on'

RndMeth

整数丸めモ,ド

“天花板”|“收敛”|{“地板”}|“最近”|“圆”|“最简单”|“零”

SaturateOnInteger
溢出

整数オ,バ,フロ,で飽和

{'off'} | 'on'

StateName

状態名

{"}

StateMustResolveTo
SignalObject

状態名をS金宝appimulinkの信号オブジェクトに関連付ける

{'off'} | 'on'

StateSignalObject

信号オブジェクトクラス

コ,ド生成ストレ,ジクラス

金宝app仿真软件。信号オブジェクト

金宝app仿真软件。信号から派生したクラスのオブジェクト

StateStorageClass

コ,ド生成ストレ,ジクラス

{'Auto'} | '模型默认' | 'ExportedGlobal' | 'ImportedExtern' | 'ImportedExternPointer' | '自定义'

RTWStateStorageType
限定符

コ,ド生成ストレ,ジ型修飾子

{"}

一阶举行(一阶)(マスクサブシステム)

Ts

サンプル時間

{' 1 '}

内存(记忆)

InitialCondition

初期条件

スカラまたはベクトル-{' 0 '}

InheritSampleTime

サンプル時間を継承

{'off'} | 'on'

LinearizeMemory

線形化中に入力を直達する

{'off'} | 'on'

LinearizeAsDelay

離散サンプル時間で線形化した場合に単位遅延として扱う

{'off'} | 'on'

StateName

状態名

{"}

StateMustResolveTo
SignalObject

状態名をS金宝appimulinkの信号オブジェクトに関連付ける

{'off'} | 'on'

StateSignalObject

信号オブジェクトクラス

コ,ド生成ストレ,ジクラス

金宝app仿真软件。信号オブジェクト

金宝app仿真软件。信号から派生したクラスのオブジェクト

StateStorageClass

コ,ド生成ストレ,ジクラス

{'Auto'} | '模型默认' | 'ExportedGlobal' | 'ImportedExtern' | 'ImportedExternPointer' | '自定义'

RTWStateStorageType
限定符

コ,ド生成ストレ,ジ型修飾子

{"}

敲击延迟(s函数)(选定延迟线)(マスクサブシステム)

vinit

初期条件

{' 0.0 '}

samptime

サンプル時間

{' 1 '}

NumDelays

遅延数

{' 4 '}

DelayOrder

次で始まる出力ベクトルを並べる

{'最老'}| '最新'

includeCurrent

出力ベクトル内の現在の入力を含む

{'off'} | 'on'

转移Fcn一级(一级转帐Fcn)(マスクサブシステム)

PoleZ

極(z平面)

{' 0.95 '}

ICPrevOutput

前の出力の初期条件

{' 0.0 '}

RndMeth

整数丸めモ,ド

“天花板”|“收敛”|{“地板”}|“最近”|“圆”|“最简单”|“零”

DoSatur

オ,バ,フロ,の場合,最大値または最小値に飽和

{'off'} | 'on'

转移Fcn领先或滞后(超前或滞后补偿器)(マスクサブシステム)

PoleZ

補償器の極(z平面における)

{' 0.95 '}

ZeroZ

補償器の零点(z平面における)

{' 0.75 '}

ICPrevOutput

前の出力の初期条件

{' 0.0 '}

ICPrevInput

前の入力の初期条件

{' 0.0 '}

RndMeth

整数丸めモ,ド

“天花板”|“收敛”|{“地板”}|“最近”|“圆”|“最简单”|“零”

DoSatur

オ,バ,フロ,の場合,最大値または最小値に飽和

{'off'} | 'on'

转移Fcn实零(转移Fcn实零)(マスクサブシステム)

ZeroZ

零点(z平面)

{' 0.75 '}

ICPrevInput

前の入力の初期条件

{' 0.0 '}

RndMeth

整数丸めモ,ド

“天花板”|“收敛”|{“地板”}|“最近”|“圆”|“最简单”|“零”

DoSatur

オ,バ,フロ,の場合,最大値または最小値に飽和

{'off'} | 'on'

单位延迟(UnitDelay)

InitialCondition

初期条件

スカラまたはベクトル-{' 0 '}

InputProcessing

入力処理

'作为通道的列(基于帧)' |{'作为通道的元素(基于样本)'}| '继承'

SampleTime

サンプル時間(継承は-1)

{' 1 '}

StateName

状態名

{"}

StateMustResolveTo
SignalObject

状態名をS金宝appimulinkの信号オブジェクトに関連付ける

{'off'} | 'on'

StateSignalObject

信号オブジェクトクラス

コ,ド生成ストレ,ジクラス

金宝app仿真软件。信号オブジェクト

金宝app仿真软件。信号から派生したクラスのオブジェクト

StateStorageClass

コ,ド生成ストレ,ジクラス

{'Auto'} | '模型默认' | 'ExportedGlobal' | 'ImportedExtern' | 'ImportedExternPointer' | '自定义'

CodeGenStateStorageType
限定符

コ,ド生成ストレ,ジ型修飾子

{"}

零级举行(ZeroOrderHold)

SampleTime

サンプル時間(継承は-1)

{' 1 '}

逻辑位操作ラ@ブラリブロックパラメ@タ@

ブロック(タプ)/パラメ

ダ@ @アログボックスプロンプト

位清除(一些明确的)(マスクサブシステム)

iBit

ビットのesc escンデックス(0は最下位)

{' 0 '}

位集(位设置)(屏蔽子系统)

iBit

ビットのesc escンデックス(0は最下位)

{' 0 '}

位运算符(s -函数)(按位运算符)(屏蔽子系统)

logicop

演算子

{' and '} | '或' | ' nand ' | '或' | ' xor ' | ' not '

UseBitMask

ビットマスクの使用…

'off' | {'on'}

NumInputPorts

入力端子の数

{' 1 '}

位掩码

ビットマスク

{“bin2dec (' 11011001 ') '}

BitMaskRealWorld

マスクの取り扱い

“真实世界值”|{“存储整数”}

组合逻辑(CombinatorialLogic

TruthTable

真理値表

{'[0 0;0 1;0 1;1 0;0 1]'}

SampleTime

サンプル時間(継承は-1)

{' 1 '}

与常量比较(与常量比较)(マスクサブシステム)

relop

演算子

'==' | '~=' | '<' | {'<='} | '>=' | '>'

常量

定数値

{' 3.0 '}

OutDataTypeStr

出力デ,タ型

{'boolean'} | 'uint8'

ZeroCross

ゼロクロッシング検出を有効にする

'off' | {'on'}

与零比较(与零比较)(マスクサブシステム)

relop

演算子

'==' | '~=' | '<' | {'<='} | '>=' | '>'

OutDataTypeStr

出力デ,タ型

{'boolean'} | 'uint8'

ZeroCross

ゼロクロッシング検出を有効にする

'off' | {'on'}

检测变化(检测变化)(マスクサブシステム)

vinit

初期条件

{' 0 '}

OutDataTypeStr

出力デ,タ型

{'boolean'} | 'uint8'

检测下降(检测减少)(マスクサブシステム)

vinit

初期条件

{' 0.0 '}

OutDataTypeStr

出力デ,タ型

{'boolean'} | 'uint8'

检测下降阴性(检测跌落负)(マスクサブシステム)

vinit

初期条件

{' 0 '}

OutDataTypeStr

出力デ,タ型

{'boolean'} | 'uint8'

检测跌落非阳性(检测跌落非阳性)(屏蔽子系统)

vinit

初期条件

{' 0 '}

OutDataTypeStr

出力デ,タ型

{'boolean'} | 'uint8'

检测增加(增加检测)(マスクサブシステム)

vinit

初期条件

{' 0.0 '}

OutDataTypeStr

出力デ,タ型

{'boolean'} | 'uint8'

检测上升非阴性(检测上升非阴性)(屏蔽子系统)

vinit

初期条件

{' 0 '}

OutDataTypeStr

出力デ,タ型

{'boolean'} | 'uint8'

检测上升阳性(检测上升阳性)(マスクサブシステム)

vinit

初期条件

{' 0 '}

OutDataTypeStr

出力デ,タ型

{'boolean'} | 'uint8'

提取比特(提取部分)(マスクサブシステム)

bitsToExtract

ビット展開

{'上半部分'}| '下半部分' | '以最高有效位开始的范围' | '以最低有效位结束的范围' | '位的范围'

numBits

ビット数

{' 8 '}

bitIdxRange

ビットの@ @ンデックス([開始終了],LSBに対して0ベ@ @ス)

{' [0 7]}

outScalingMode

出力スケ,リングモ,ド

{'保留定点缩放'}| '将位域视为整数'

间隔测试(间隔测试)(マスクサブシステム)

IntervalClosedRight

右閉区間

'off' | {'on'}

uplimit

上限

{' 0.5 '}

IntervalClosedLeft

左閉区間

'off' | {'on'}

lowlimit

下限

{' -0.5 '}

OutDataTypeStr

出力デ,タ型

{'boolean'} | 'uint8'

区间动态测试(区间动态检验)(マスクサブシステム)

IntervalClosedRight

右閉区間

'off' | {'on'}

IntervalClosedLeft

左閉区間

'off' | {'on'}

OutDataTypeStr

出力デ,タ型

{'boolean'} | 'uint8'

逻辑运算符(逻辑

操作符

演算子

{”和“}|”或“|“与非”|“也”|“异或”|“NXOR”|“不”

输入

入力端子の数

{' 2 '}

IconShape

ア@ @コン形状

{' rectangle '} | 'distinctive'

SampleTime

サンプル時間(継承は-1)

{' 1 '}

AllPortsSameDT

すべての入出力が同じデ,タ型をも

{'off'} | 'on'

OutDataTypeStr

出力デ,タ型

'继承:逻辑(见配置参数:优化)' |{'布尔'}| 'fixdt(1,16)'

关系操作符(RelationalOperator)

操作符

関係演算子

'==' | '~=' | '<' | {'<='} | '>=' | '>' | ' isInf‘|’isNaN”|“isFinite”

ZeroCross

ゼロクロッシング検出を有効にする

'off' | {'on'}

SampleTime

サンプル時間(継承は-1)

{' 1 '}

InputSameDT

すべての入力が同じデ,タ型をも

{'off'} | 'on'

OutDataTypeStr

出力デ,タ型

'继承:逻辑(见配置参数:优化)' |{'布尔'}| 'fixdt(1,16)'

移位运算(ArithShift)

BitShiftNumberSource

シフトするビット>ソ,ス

{'Dialog'} | '输入端口'

BitShiftDirection

シフトするビット>方向

'左' | '右' |{'双向'}

BitShiftNumber

シフトするビット>数

{' 8 '}

BinPtShiftNumber

シフトする2進小数点>数

{' 0 '}

DiagnosticForOORShift

範囲外シフト値の診断

{'None'} | '警告' | '错误'

CheckOORBitShift

生成コ,ドで範囲外の'シフトするビット'をチェック

{'off'} | 'on'

nBitShiftRight

R2011aで廃止

nBinPtShiftRight

R2011aで廃止

查找表ラブラリブロックパラメタ

ブロック(タプ)/パラメ

ダ@ @アログボックスプロンプト

余弦(余弦)(屏蔽子系统)

公式

出力式

的罪(2 *π* u) | {' cos(2 *π* u)} |“exp (j * 2 *π* u)”|“sin(2 *π* u)和cos(2 *π* u) '

NumDataPoints

ルックアップテ,ブルのデ,タ点の数

{' (2 ^ 5) + 1 '}

OutputWordLength

出力語長

{' 16 '}

InternalRulePriority

ルックアップテ,ブルの内部ル,ル優先順位

{'速度'}| '精度'

直接查表(n-D) (LookupNDDirect

NumberOfTableDimensions

テ,ブルの次元数

'1' | {'2'} | '3' | '4'

InputsSelectThisObjectFromTable

テ,ブルからこのオブジェクトの選択を入力

{'元素'}| '向量' | '二维矩阵'

TableIsInput

入力のテ,ブルの作成

{'off'} | 'on'

表格

テ,ブルデ,タ

{'[4 5 6;16 19 20;10 18 23]'}

DiagnosticForOutOfRangeInput

範囲外入力の診断

|{'警告'}| '错误'

SampleTime

サンプル時間(継承は-1)

{' 1 '}

TableMin

テ,ブルの最小値

{“[]”}

TableMax

テ,ブルの最大値

{“[]”}

TableDataTypeStr

テ,ブルデ,タ型

{'继承:继承'表数据'}| '双' | '单' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'boolean' | 'fixdt(1,16)' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

LockScale

固定小数点

{'off'} | 'on'

maskTabDims

R2009bで廃止

explicitNumDims

R2009bで廃止

outDims

R2009bで廃止

tabIsInput

R2009bで廃止

mxTable

R2009bで廃止

clipFlag

R2009bで廃止

samptime

R2009bで廃止

使用预查找插值(Interpolation_n-D

NumberOfTableDimensions

テ,ブルの次元数

'1' | {'2'} | '3' | '4'

表格

テ,ブルデ,タ>値

{'sqrt([1:11]' * [1:11])'}

TableSource

テブルデタ>ソス

{'Dialog'} | '输入端口'

TableSpecification

指定

{'显式值'}| | '查找表对象'

このパラメ,タ,を“显式值”から“查找表对象”に設定するには,同じset_param呼び出しを使用してパラメタLookupTableObjectを設定します。次に例を示します。

set_param (“myModel / myInterpBlock”,...“TableSpecification”,...“查找表对象”,...“LookupTableObject”,“myLUTObject”

LookupTableObject

ルックアップテ,ブルオブジェクトの名前

{"}

InterpMethod

内挿法

'平' |{'线性点斜'}| '最近' | '线性拉格朗日'

ExtrapMethod

外挿法

'Clip' | {'Linear'}

ValidIndexMayReachLast

▪▪▪▪ンデックスの入力を最後の▪▪▪▪ンデックスに達するようにする

{'off'} | 'on'

DiagnosticForOutOfRange
输入

範囲外入力の診断

{'None'} | '警告' | '错误'

RemoveProtectionIndex

生成コドの範囲外ンデックスに対する保護を削除

{'off'} | 'on'

NumSelectionDims

サブテ,ブル選択次元数

{' 0 '}

SampleTime

サンプル時間(継承は-1)

{' 1 '}

TableDataTypeStr

テブルデタ>デタ型

'继承:继承'表数据' |{'继承:与输出相同'}| '双' | '单' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16)' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

TableMin

テ,ブルデ,タ>最小値

{“[]”}

TableMax

テ,ブルデ,タ>最大値

{“[]”}

IntermediateResultsDataTypeStr

中間結果>デ,タ型

{'继承:通过内部规则继承'}| '继承:与输出' | '双' | '单' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

OutDataTypeStr

出力>デ,タ型

'继承:通过反向传播继承' |{'继承:从表数据继承'}| 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

OutMin

出力 > 最小値

{“[]”}

OutMax

出力 > 最大値

{“[]”}

InternalRulePriority

内部ル,ル優先順位

{'速度'}| '精度'

LockScale

固定小数点

{'off'} | 'on'

RndMeth

整数丸めモ,ド

“天花板”|“收敛”|{“地板”}|“最近”|“圆”|“最简单”|“零”

SaturateOnIntegerOverflow

整数オ,バ,フロ,で飽和

{'off'} | 'on'

CheckIndexInCode

R2011aで廃止

n-D查找表,一维查找表,二维查找表(Lookup_n-D)

NumberOfTableDimensions

テ,ブルの次元数

'1' | '2' | '3' | '4'。既定値は一维查找表の場合は' 1 ',二维查找表の場合は‘2’,一天查找表の場合は“3”。

DataSpecification

(n-D查找表)デ,タ仕様

{'表和断点'}| '查找表对象'

このパラメ,タ,を“表和断点”から“查找表对象”に設定するには,同じset_param呼び出しを使用してパラメタLookupTableObjectを設定します。以下に例を示します。

set_param (“myModel / myLookupBlock”,...“DataSpecification”,“查找表…对象”,...“LookupTableObject”,“myLUTObject”

LookupTableObject

ルックアップテ,ブルオブジェクトの名前

{"}

表格

テ,ブルデ,タ

{'重塑(repmat([4 5 6; 16 19 20; 10 18 23], 1, 2),[3, 3, 2])”}

BreakpointsSpecification

ブレクポントの指定

{'显式值'}| '均匀间距'

BreakpointsForDimension1FirstPoint

最初の点

{' 1 '}

BreakpointsForDimension2FirstPoint

最初の点

{' 1 '}

BreakpointsForDimension3FirstPoint

最初の点

{' 1 '}

...

...

...

BreakpointsForDimension30FirstPoint

最初の点

{' 1 '}

BreakpointsForDimension1Spacing

間隔

{' 1 '}

BreakpointsForDimension2Spacing

間隔

{' 1 '}

BreakpointsForDimension3Spacing

間隔

{' 1 '}

...

...

...

BreakpointsForDimension30Spacing

間隔

{' 1 '}

BreakpointsForDimension1

ブレクポント

{'[31] 10, 22日'}

BreakpointsForDimension2

ブレクポント

{'[31] 10, 22日'}

BreakpointsForDimension3

ブレクポント

{'(5、7)}

...

... ...

BreakpointsForDimension30

30 .ブレクポント

{' [1:3]}

SampleTime

サンプル時間(継承は-1)

{' 1 '}

InterpMethod

内挿法

'平坦' | '最近' |{'线性点斜'}| '线性拉格朗日' | '三次样条'

ExtrapMethod

外挿法

'Clip' | {'Linear'} | '三次样条'

UseLastTableValue

最後のブレクポントまたはそれを超える入力に対してテブルの最後の値を使用する

{'off'} | 'on'

DiagnosticForOutOfRange
输入

範囲外入力の診断

{'None'} | '警告' | '错误'

RemoveProtectionInput

生成コ,ドの範囲外入力に対する保護を削除

{'off'} | 'on'

IndexSearchMethod

ンデックス検索法

'等距点' | '线性搜索' |{'二分搜索'}

BeginIndexSearchUsing
PreviousIndexResult

前回の▪▪ンデックス結果を使って▪▪ンデックス検索を始める

{'off'} | 'on'

UseOneInputPortForAll
InputData

すべての入力デ,タに対して入力端子を使用

{'off'} | 'on'

金宝appSupportTunableTableSize

コド生成で調整可能なテブルサズをサポト

{'off'} | 'on'

MaximumIndicesForEach

次元ごとの最大@ @ンデックス

{“[]”}

TableDataTypeStr

テブルデタ>デタ型

'继承:继承'表数据' |{'继承:与输出相同'}| '双' | '单' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16)' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

TableMin

テ,ブルデ,タ>最小値

{“[]”}

TableMax

テ,ブルデ,タ>最大値

{“[]”}

BreakpointsForDimension1
DataTypeStr

ブレクポント1 >デタ型

{'继承:与相应输入相同'}| '继承:继承'断点数据' | '双' | '单' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16)' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

BreakpointsForDimension1
最小值

ブレクポント1 >最小値

{“[]”}

BreakpointsForDimension1
马克斯

ブレクポント1 >最大値

{“[]”}

BreakpointsForDimension2
DataTypeStr

ブレクポント2 >デタ型

{'继承:与相应输入相同'}| '继承:继承'断点数据' | '双' | '单' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16)' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

BreakpointsForDimension2
最小值

ブレクポント2 >最小値

{“[]”}

BreakpointsForDimension2
马克斯

ブレクポント2 >最大値

{“[]”}

...

... ...

BreakpointsForDimension30
DataTypeStr

ブレクポント30 >デタ型

{'继承:与相应输入相同'}| '继承:继承'断点数据' | '双' | '单' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16)' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

BreakpointsForDimension30
最小值

ブレクポント30 >最小値

{“[]”}

BreakpointsForDimension30
马克斯

ブレクポント30 >最大値

{“[]”}

FractionDataTypeStr

ブレクポント間の位置>デタ型

{'继承:通过内部规则继承'}| 'double' | 'single' | 'fixdt(1,16,0)'

IntermediateResults
DataTypeStr

中間結果>デ,タ型

'继承:通过内部规则继承' |{'继承:与输出相同'}| 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

OutDataTypeStr

出力>デ,タ型

'继承:通过反向传播继承' | '继承:从表数据继承' |{'继承:与第一次输入相同'}| 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

OutMin

出力 > 最小値

{“[]”}

OutMax

出力 > 最大値

{“[]”}

InternalRulePriority

内部ル,ル優先順位

{'速度'}| '精度'

InputSameDT

すべての入力が同じデ,タ型をも

'off' | {'on'}

LockScale

固定小数点

{'off'} | 'on'

RndMeth

整数丸めモ,ド

“天花板”|“收敛”|“地板”|“最近”|“圆”|{“最简单”}|“零”

SaturateOnInteger
溢出

整数オ,バ,フロ,で飽和

{'off'} | 'on'

ProcessOutOfRangeInput

R2009bで廃止

动态查找表(动态查找表)(マスクサブシステム)

LookUpMeth

ルックアップ手法

'插值-外推' |{'插值-使用结束值'}| '使用输入最近' | '使用输入低于' | '使用输入高于'

OutDataTypeStr

出力デ,タ型

{'fixdt('double')'} | '继承:通过反向传播继承' | '双' | '单' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | '布尔' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

OutputDataTypeScaling
模式

R2007bで廃止

OutDataType

R2007bで廃止

OutScaling

R2007bで廃止

LockScale

固定小数点

{'off'} | 'on'

RndMeth

整数丸めモ,ド

“天花板”|“收敛”|{“地板”}|“最近”|“圆”|“最简单”|“零”

DoSatur

オ,バ,フロ,の場合,最大値または最小値に飽和

{'off'} | 'on'

Prelookup (PreLookup

BreakpointsSpecification

指定

{'显式值'}| '甚至空格' | '断点对象'

このパラメ,タ,を“显式值”または“即使间距”から“断点对象”に設定するには,同じset_param呼び出しを使用してパラメタBreakpointObjectを設定します。次に例を示します。

set_param (“myModel / myPrelookupBlock”,...“BreakpointsSpecification”,...“断点对象”,...“BreakpointObject”,“myBPObject”

BreakpointObject

ブレクポントオブジェクトの名前

{"}

BreakpointsFirstPoint

最初の点

{“10”}

BreakpointsSpacing

間隔

{“10”}

BreakpointsNumPoints

点数

{' 11 '}

BreakpointsData

{' [10:10:110]}

BreakpointsDataSource

ソス

{'Dialog'} | '输入端口'

IndexSearchMethod

ンデックス検索法

'等距点' | '线性搜索' |{'二分搜索'}

BeginIndexSearchUsing
PreviousIndexResult

前回の▪▪ンデックス結果を使って▪▪ンデックス検索を始める

{'off'} | 'on'

OutputOnlyTheIndex

ンデックスのみ出力

{'off'} | 'on'

ExtrapMethod

外挿法

'Clip' | {'Linear'}

UseLastBreakpoint

上限またはそれを超える入力に対して最後のブレクポントを使用する

{'off'} | 'on'

DiagnosticForOutOfRange
输入

範囲外入力の診断

{'None'} | '警告' | '错误'

RemoveProtectionInput

生成コ,ドの範囲外入力に対する保護を削除

{'off'} | 'on'

SampleTime

サンプル時間(継承は-1)

{' 1 '}

BreakpointDataTypeStr

ブレクポント>デタ型

{'继承:与输入相同'}| '继承:继承'断点数据' | '双' | '单' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16)' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

BreakpointMin

ブレクポント>最小値

{“[]”}

BreakpointMax

ブレクポント>最大値

{“[]”}

IndexDataTypeStr

ンデックス>デ,タ型

“int8”|“uint8”|“int16”|“uint16”|“int32”|{‘uint32} |“fixdt (16)

FractionDataTypeStr

ブレクポント間の位置>デタ型

{'继承:通过内部规则继承'}| 'double' | 'single' | 'fixdt(1,16,0)'

LockScale

固定小数点

{'off'} | 'on'

RndMeth

整数丸めモ,ド

“天花板”|“收敛”|{“地板”}|“最近”|“圆”|“最简单”|“零”

ProcessOutOfRangeInput

R2011aで廃止

sin (正弦)(屏蔽子系统)

公式

出力式

{“罪(2 *π* u)”}|“cos(2 *π* u)”|“exp (j * 2 *π* u)”|“sin(2 *π* u)和cos(2 *π* u)”

NumDataPoints

ルックアップテ,ブルのデ,タ点の数

{' (2 ^ 5) + 1 '}

OutputWordLength

出力語長

{' 16 '}

InternalRulePriority

ルックアップテ,ブルの内部ル,ル優先順位

{'速度'}| '精度'

数学运算ラブラリブロックパラメタ

ブロック(タプ)/パラメ ダ@ @アログボックスプロンプト

腹肌(Abs)

ZeroCross

ゼロクロッシング検出を有効にする

'off' | {'on'}

SampleTime

サンプル時間(継承は-1)

{' 1 '}

OutMin

出力の最小値

{“[]”}

OutMax

出力の最大値

{“[]”}

OutDataTypeStr

出力デ,タ型

'继承:通过内部规则继承' | '继承:通过反向传播继承' |{'继承:与输入相同'}| '双' | '单' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

LockScale

固定小数点

{'off'} | 'on'

RndMeth

整数丸めモ,ド

“天花板”|“收敛”|{“地板”}|“最近”|“圆”|“最简单”|“零”

SaturateOnInteger
溢出

整数オ,バ,フロ,で飽和

{'off'} | 'on'

Add (总和

IconShape

ア@ @コン形状

{' rectangle '} | 'round'

输入

符号リスト

{“+ +”}

CollapseMode

加算範囲

{'所有维度'}| '指定维度'

CollapseDim

次元

{' 1 '}

SampleTime

サンプル時間(継承は-1)

{' 1 '}

InputSameDT

すべての入力が同じデ,タ型をも

{'off'} | 'on'

AccumDataTypeStr

アキュムレ,タのデ,タ型

{'继承:通过内部规则继承'}| '继承:与第一次输入' | '双' | '单' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

OutMin

出力の最小値

{“[]”}

OutMax

出力の最大値

{“[]”}

OutDataTypeStr

出力デ,タ型

{'继承:通过内部规则继承'}| '继承:通过反向传播继承' | '继承:与第一次输入' | '继承:与累加器' | '双' | '单' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

LockScale

固定小数点

{'off'} | 'on'

RndMeth

整数丸めモ,ド

“天花板”|“收敛”|{“地板”}|“最近”|“圆”|“最简单”|“零”

SaturateOnInteger
溢出

整数オ,バ,フロ,で飽和

{'off'} | 'on'

代数约束(代数约束)

约束

入力信号の制約

{'f(z) = 0'} | 'f(z) = z'

解算器

代数ルプソルバ

{'auto'} | '信任区域' | '线路搜索'

宽容

ソルバ,許容誤差

{'汽车'}

InitialGuess

初期推定

{' 0 '}

任务(赋值

NumberOfDimensions

出力の次元数

{' 1 '}

IndexMode

ンデックスモ,ド

'从零开始' |{'从一开始'}

OutputInitialize

出力(y)の初期化

{'初始化使用输入端口'} | '指定表中每个维度的大小'

IndexOptionArray

ンデックスオプション

'分配所有' |{'索引向量(对话框)'}| '索引向量(端口)' | '起始索引(对话框)' | '起始索引(端口)'

IndexParamArray

ンデックス

细胞配列

OutputSizeArray

出力サ@ @ズ

细胞配列

DiagnosticForDimensions

任意の出力要素が割り当てられない場合のアクション

'错误' | '警告' |{'无'}

SampleTime

サンプル時間(継承は-1)

{' 1 '}

IndexOptions

詳細にいては,IndexOptionArrayパラメ,タ,を参照してください。

指数

詳細にいては,IndexParamArrayパラメ,タ,を参照してください。

OutputSizes

詳細にいては,OutputSizeArrayパラメ,タ,を参照してください。

偏差(偏见

偏见

バereplicationアス

{' 0.0 '}

SaturateOnInteger
溢出

整数オ,バ,フロ,で飽和

{'off'} | 'on'

复数到幅度角(ComplexToMagnitudeAngle

输出

出力

“幅度”|“角度”|{“幅度和角度”}

SampleTime

サンプル時間(継承は-1)

{' 1 '}

从复杂到真实影像(ComplexToRealImag

输出

出力

'Real' | 'Imag' | {'Real and Imag'}

SampleTime

サンプル時間(継承は-1)

{' 1 '}

分(产品

输入

入力数

{“* /”}

乘法

乗算

{'Element-wise(.*)'} | 'Matrix(*)'

SampleTime

サンプル時間(継承は-1)

{' 1 '}

InputSameDT

すべての入力が同じデ,タ型をも

{'off'} | 'on'

OutMin

出力の最小値

{“[]”}

OutMax

出力の最大値

{“[]”}

OutDataTypeStr

出力デ,タ型

{'继承:通过内部规则继承'}| '继承:通过反向传播继承' | '继承:与第一次输入' | '双' | '单' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

LockScale

固定小数点

{'off'} | 'on'

RndMeth

整数丸めモ,ド

“天花板”|“收敛”|{“地板”}|“最近”|“圆”|“最简单”|“零”

SaturateOnInteger
溢出

整数オ,バ,フロ,で飽和

{'off'} | 'on'

点积(DotProduct

SampleTime

サンプル時間(継承は-1)

{' 1 '}

InputSameDT

すべての入力が同じデ,タ型をも

'off' | {'on'}

OutMin

出力の最小値

{“[]”}

OutMax

出力の最大値

{“[]”}

OutDataTypeStr

出力デ,タ型

{'继承:通过内部规则继承'}| '继承:通过反向传播继承' | '继承:与第一次输入' | '双' | '单' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

OutputDataTypeScaling
模式

R2007bで廃止

OutDataType

R2007bで廃止

OutScaling

R2007bで廃止

LockScale

固定小数点

{'off'} | 'on'

RndMeth

整数丸めモ,ド

“天花板”|“收敛”|{“地板”}|“最近”|“圆”|“最简单”|“零”

SaturateOnInteger
溢出

整数オ,バ,フロ,で飽和

{'off'} | 'on'

找到(找到

IndexOutputFormat

ンデックス出力形式

{'线性下标'}| '下标'

NumberOfInputDimensions

入力の次元数

整数-{' 1 '}

IndexMode

ンデックスモ,ド

{'从零开始'}| '从一开始'

ShowOutputForNonzero
InputValues

非ゼロの入力値の出力端子を表示

{'off'} | 'on'

SampleTime

サンプル時間(継承は-1)

{' 1 '}

OutDataTypeStr

出力デ,タ型

{'继承:通过内部规则继承'}| 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16)'

获得(获得

获得

ゲereplicationン

{' 1 '}

乘法

乗算

{“Element-wise (K . * u)”}|“矩阵(K * u)”|“矩阵(u * K)”|“矩阵(K * u) (u向量)”

SampleTime

サンプル時間(継承は-1)

{' 1 '}

OutMin

出力の最小値

{“[]”}

OutMax

出力の最大値

{“[]”}

OutDataTypeStr

出力デ,タ型

{'继承:通过内部规则继承'}| '继承:通过反向传播继承' | '继承:与输入' | '双' | '单' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

LockScale

固定小数点

{'off'} | 'on'

RndMeth

整数丸めモ,ド

“天花板”|“收敛”|{“地板”}|“最近”|“圆”|“最简单”|“零”

SaturateOnInteger
溢出

整数オ,バ,フロ,で飽和

{'off'} | 'on'

ParamMin

パラメ,タ,の最小値

{“[]”}

ParamMax

パラメ,タ,の最大値

{“[]”}

ParamDataTypeStr

パラメ,タ,デ,タ型

{'继承:通过内部规则继承'}| '继承:与输入' | '相同继承:从'增益' | '双' | '单' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16)' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

与复合体的模角(MagnitudeAngleToComplex

输入

入力

“幅度”|“角度”|{“幅度和角度”}

ConstantPart

大きさまたは角度

{' 0 '}

ApproximationMethod

近似法

{'None'} | 'CORDIC'

NumberOfIterations

反復回数

{' 11 '}

ScaleReciprocalGainFactor

ゲンファクタの逆数による出力のスケル

'off' | {'on'}

SampleTime

サンプル時間(継承は-1)

{' 1 '}

数学函数(数学

操作符

関数

{‘实验’}|“日志”|“10 ^ u”|“log10”|“^ 2级”|“广场”|“战俘”|“连词”|“互惠”|“函数”|“快速眼动”|“国防部”|“转置”|“埃尔米特”

OutputSignalType

出力信号タ@ @プ

{'auto'} | 'real' | 'complex'

SampleTime

サンプル時間(継承は-1)

{' 1 '}

OutMin

出力の最小値

{“[]”}

OutMax

出力の最大値

{“[]”}

OutDataTypeStr

出力デ,タ型

'继承:通过内部规则继承' | '继承:通过反向传播继承' |{'继承:与第一次输入相同'}| '双' | '单' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

LockScale

固定小数点

{'off'} | 'on'

RndMeth

整数丸めモ,ド

“天花板”|“收敛”|{“地板”}|“最近”|“圆”|“最简单”|“零”

SaturateOnInteger
溢出

整数オ,バ,フロ,で飽和

'off' | {'on'}

矩阵串联(连接

NumInputs

入力数

{' 2 '}

模式

モド

'Vector' |{'多维数组'}

ConcatenateDimension

連結の次元

{' 2 '}

极大极小(极大极小

函数

関数

{'min'} | 'max'

输入

入力端子の数

{' 1 '}

ZeroCross

ゼロクロッシング検出を有効にする

'off' | {'on'}

SampleTime

サンプル時間(継承は-1)

{' 1 '}

InputSameDT

すべての入力が同じデ,タ型をも

{'off'} | 'on'

OutMin

出力の最小値

{“[]”}

OutMax

出力の最大値

{“[]”}

OutDataTypeStr

出力デ,タ型

{'继承:通过内部规则继承'}| '继承:通过反向传播继承' | '双' | '单' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

LockScale

固定小数点

{'off'} | 'on'

RndMeth

整数丸めモ,ド

“天花板”|“收敛”|{“地板”}|“最近”|“圆”|“最简单”|“零”

SaturateOnInteger
溢出

整数オ,バ,フロ,で飽和

{'off'} | 'on'

最小运行可重置(MinMax运行可重置)(屏蔽子系统)

函数

関数

{'min'} | 'max'

vinit

初期条件

{' 0.0 '}

排列维度(PermuteDimensions

订单

次数

{' (2, 1)}

多项式(Polyval

系数

多項式係数

{'[+2.081618890e-019, -1.441693666e-014, +4.719686976e-010, -8.536869453e-006, +1.621573104e-001, -8.087801117e+001]'}

产品(产品

输入

入力数

{' 2 '}

乘法

乗算

{'Element-wise(.*)'} | 'Matrix(*)'

CollapseMode

乗算範囲

{'所有维度'}| '指定维度'

CollapseDim

次元

{' 1 '}

SampleTime

サンプル時間(継承は-1)

{' 1 '}

InputSameDT

すべての入力が同じデ,タ型をも

{'off'} | 'on'

OutMin

出力の最小値

{“[]”}

OutMax

出力の最大値

{“[]”}

OutDataTypeStr

出力デ,タ型

{'继承:通过内部规则继承'}| '继承:通过反向传播继承' | '继承:与第一次输入' | '双' | '单' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

LockScale

固定小数点

{'off'} | 'on'

RndMeth

整数丸めモ,ド

“天花板”|“收敛”|“地板”|“最近”|“圆”|“最简单”|{“零”}

SaturateOnInteger
溢出

整数オ,バ,フロ,で飽和

{'off'} | 'on'

元素乘积(产品

输入

入力数

{‘*’}

乘法

乗算

{'Element-wise(.*)'} | 'Matrix(*)'

CollapseMode

乗算範囲

{'所有维度'}| '指定维度'

CollapseDim

次元

{' 1 '}

SampleTime

サンプル時間(継承は-1)

{' 1 '}

InputSameDT

すべての入力が同じデ,タ型をも

{'off'} | 'on'

OutMin

出力の最小値

{“[]”}

OutMax

出力の最大値

{“[]”}

OutDataTypeStr

出力デ,タ型

{'继承:通过内部规则继承'}| '继承:通过反向传播继承' | '继承:与第一次输入' | '双' | '单' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

LockScale

固定小数点

{'off'} | 'on'

RndMeth

整数丸めモ,ド

“天花板”|“收敛”|{“地板”}|“最近”|“圆”|“最简单”|“零”

SaturateOnInteger
溢出

整数オ,バ,フロ,で飽和

{'off'} | 'on'

real - image to Complex (RealImagToComplex

输入

入力

'Real' | 'Imag' | {'Real and Imag'}

ConstantPart

実数部または虚数部

{' 0 '}

SampleTime

サンプル時間(継承は-1)

{' 1 '}

平方根倒数(√6

操作符

関数

' | 'signedSqrt' | {'rSqrt'}

OutputSignalType

出力信号タ@ @プ

{'auto'} | 'real' | 'complex'

SampleTime

サンプル時間(継承は-1)

{' 1 '}

OutMin

出力の最小値

{“[]”}

OutMax

出力の最大値

{“[]”}

OutDataTypeStr

出力デ,タ型

'继承:通过内部规则继承' | '继承:通过反向传播继承' |{'继承:与第一次输入相同'}| '双' | '单' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

LockScale

固定小数点

{'off'} | 'on'

RndMeth

整数丸めモ,ド

“天花板”|“收敛”|{“地板”}|“最近”|“圆”|“最简单”|“零”

SaturateOnInteger
溢出

整数オ,バ,フロ,で飽和

'off' | {'on'}

IntermediateResults
DataTypeStr

中間結果のデ,タ型

{'继承:通过内部规则继承'}| '继承:从输入继承' | '继承:从输出继承' | '双' | '单' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

AlgorithmType

メソッド

'Exact' | {'Newton-Raphson'}

迭代

反復回数

{' 3 '}

重塑(重塑

OutputDimensionality

出力次元

{'1-D数组'}| '列向量(2-D)' | '行向量(2-D)' | '自定义' | '从参考输入端口派生'

OutputDimensions

出力の次元

{' [1]}

舍入函数(舍入

操作符

関数

{'floor'} | 'ceil' | 'round' | 'fix'

SampleTime

サンプル時間(継承は-1)

{' 1 '}

号(

ZeroCross

ゼロクロッシング検出を有効にする

'off' | {'on'}

SampleTime

サンプル時間(継承は-1)

{' 1 '}

签名根号(√6

操作符

関数

'rSqrt' | {'signedSqrt'} | 'rSqrt'

OutputSignalType

出力信号タ@ @プ

{'auto'} | 'real' | 'complex'

SampleTime

サンプル時間(継承は-1)

{' 1 '}

OutMin

出力の最小値

{“[]”}

OutMax

出力の最大値

{“[]”}

OutDataTypeStr

出力デ,タ型

'继承:通过内部规则继承' | '继承:通过反向传播继承' |{'继承:与第一次输入相同'}| '双' | '单' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

LockScale

固定小数点

{'off'} | 'on'

RndMeth

整数丸めモ,ド

“天花板”|“收敛”|{“地板”}|“最近”|“圆”|“最简单”|“零”

SaturateOnInteger
溢出

整数オ,バ,フロ,で飽和

'off' | {'on'}

IntermediateResults
DataTypeStr

中間結果のデ,タ型

{'继承:通过内部规则继承'}| '继承:从输入继承' | '继承:从输出继承' | '双' | '单' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

AlgorithmType

メソッド

{'Exact'} | 'Newton-Raphson'

迭代

反復回数

{' 3 '}

正弦波函数(

SineType

正弦波タ@ @プ

{'基于时间的'}| '基于样本的'

TimeSource

時間

'使用模拟时间' |{'使用外部信号'}

振幅

振幅

{' 1 '}

偏见

バereplicationアス

{' 0 '}

频率

周波数

{' 1 '}

阶段

位相

{' 0 '}

样品

1周期のサンプル数

{“10”}

抵消

オフセットサンプル数

{' 0 '}

SampleTime

サンプル時間

{' 0 '}

VectorParams1D

ベクトルパラメ,タ,を1次元として解釈

'off' | {'on'}

滑块增益(滑块获得)(マスクサブシステム)

{' 0 '}

获得

ゲereplicationン

{' 1 '}

{' 2 '}

√6

操作符

関数

{'sqrt'} | 'signedSqrt' | 'rSqrt'

OutputSignalType

出力信号タ@ @プ

{'auto'} | 'real' | 'complex'

SampleTime

サンプル時間(継承は-1)

{' 1 '}

OutMin

出力の最小値

{“[]”}

OutMax

出力の最大値

{“[]”}

OutDataTypeStr

出力デ,タ型

'继承:通过内部规则继承' | '继承:通过反向传播继承' |{'继承:与第一次输入相同'}| '双' | '单' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

LockScale

固定小数点

{'off'} | 'on'

RndMeth

整数丸めモ,ド

“天花板”|“收敛”|{“地板”}|“最近”|“圆”|“最简单”|“零”

SaturateOnInteger
溢出

整数オ,バ,フロ,で飽和

'off' | {'on'}

IntermediateResults
DataTypeStr

中間結果のデ,タ型

{'继承:通过内部规则继承'}| '继承:从输入继承' | '继承:从输出继承' | '双' | '单' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

AlgorithmType

メソッド

{'Exact'} | 'Newton-Raphson'

迭代

反復回数

{' 3 '}

挤压(挤压)(屏蔽子系统)

なし

なし

なし

减去(总和

IconShape

ア@ @コン形状

{' rectangle '} | 'round'

输入

符号リスト

{“+ -”}

CollapseMode

加算範囲

{'所有维度'}| '指定维度'

CollapseDim

次元

{' 1 '}

SampleTime

サンプル時間(継承は-1)

{' 1 '}

InputSameDT

すべての入力が同じデ,タ型をも

{'off'} | 'on'

AccumDataTypeStr

アキュムレ,タのデ,タ型

{'继承:通过内部规则继承'}| '继承:与第一次输入相同' | '双' | '单' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

OutMin

出力の最小値

{“[]”}

OutMax

出力の最大値

{“[]”}

OutDataTypeStr

出力デ,タ型

{'继承:通过内部规则继承'}| '继承:通过反向传播继承' | '继承:与第一次输入' | '继承:与累加器' | '双' | '单' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

LockScale

固定小数点

{'off'} | 'on'

RndMeth

整数丸めモ,ド

“天花板”|“收敛”|{“地板”}|“最近”|“圆”|“最简单”|“零”

SaturateOnInteger
溢出

整数オ,バ,フロ,で飽和

{'off'} | 'on'

Sum (总和

IconShape

ア@ @コン形状

' rectangle ' | {'round'}

输入

符号リスト

{' | + + '}

CollapseMode

加算範囲

{'所有维度'}| '指定维度'

CollapseDim

次元

{' 1 '}

SampleTime

サンプル時間(継承は-1)

{' 1 '}

InputSameDT

すべての入力が同じデ,タ型をも

{'off'} | 'on'

AccumDataTypeStr

アキュムレ,タのデ,タ型

{'继承:通过内部规则继承'}| '继承:与第一次输入' | '双' | '单' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

OutMin

出力の最小値

{“[]”}

OutMax

出力の最大値

{“[]”}

OutDataTypeStr

出力デ,タ型

{'继承:通过内部规则继承'}| '继承:通过反向传播继承' | '继承:与第一次输入' | '继承:与累加器' | '双' | '单' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

LockScale

固定小数点

{'off'} | 'on'

RndMeth

整数丸めモ,ド

“天花板”|“收敛”|{“地板”}|“最近”|“圆”|“最简单”|“零”

SaturateOnInteger
溢出

整数オ,バ,フロ,で飽和

{'off'} | 'on'

元素总和(总和

IconShape

ア@ @コン形状

{' rectangle '} | 'round'

输入

符号リスト

{' + '}

CollapseMode

加算範囲

{'所有维度'}| '指定维度'

CollapseDim

次元

{' 1 '}

SampleTime

サンプル時間(継承は-1)

{' 1 '}

InputSameDT

すべての入力が同じデ,タ型をも

{'off'} | 'on'

AccumDataTypeStr

アキュムレ,タのデ,タ型

{'继承:通过内部规则继承'}| '继承:与第一次输入' | '双' | '单' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

OutMin

出力の最小値

{“[]”}

OutMax

出力の最大値

{“[]”}

OutDataTypeStr

出力デ,タ型

{'继承:通过内部规则继承'}| '继承:通过反向传播继承' | '继承:与第一次输入' | '继承:与累加器' | '双' | '单' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

LockScale

固定小数点

{'off'} | 'on'

RndMeth

整数丸めモ,ド

“天花板”|“收敛”|{“地板”}|“最近”|“圆”|“最简单”|“零”

SaturateOnInteger
溢出

整数オ,バ,フロ,で飽和

{'off'} | 'on'

三角函数(三角函数

操作符

関数

{‘罪’}|“因为”|“晒”|“最佳”|“治疗”|“:”|“量化”|“sinh”|“cosh”|“双曲正切”|“作用”|“作用”|“atanh”|“要求”|“因为+ jsin '

ApproximationMethod

近似法

{'None'} | 'CORDIC'

NumberOfIterations

反復回数

{' 11 '}

OutputSignalType

出力信号タ@ @プ

{'auto'} | 'real' | 'complex'

SampleTime

サンプル時間(継承は-1)

{' 1 '}

一元减号(UnaryMinus

SampleTime

サンプル時間(継承は-1)

{' 1 '}

SaturateOnInteger
溢出

整数オ,バ,フロ,で飽和

{'off'} | 'on'

矢量串联(连接

NumInputs

入力数

{' 2 '}

模式

モド

{'Vector'} | '多维数组'

加权抽样时间数学(SampleTimeMath

TsampMathOp

演算

{'+'} | '-' | '*' | '/' | '仅限Ts ' | '1/仅限Ts '

weightValue

重みの値

{' 1.0 '}

TsampMathImp

実装に使用

{'在线计算'}| '离线缩放调整'

OutDataTypeStr

出力デ,タ型

{'通过内部规则继承'}| '通过反向传播继承'

RndMeth

整数丸めモ,ド

“天花板”|“收敛”|{“地板”}|“最近”|“圆”|“最简单”|“零”

SaturateOnInteger
溢出

整数オ,バ,フロ,で飽和

{'off'} | 'on'

OutputDataTypeScaling
模式

R2009bで廃止

DoSatur

R2009bで廃止

模型验证ラaapl

ブロック(タプ)/パラメ ダ@ @アログボックスプロンプト

断言(断言

启用

アサ,ションを有効にする

'off' | {'on'}

AssertionFailFcn

アサ,ションの失敗時のシミュレ,ションコ,ルバック

{"}

StopWhenAssertionFail

アサ,ションが失敗したときにシミュレ,ションを中止

'off' | {'on'}

SampleTime

サンプル時間(継承は-1)

{' 1 '}

检查动态间隙(Checks_DGap)(屏蔽子系统)

启用

アサ,ションを有効にする

'off' | {'on'}

回调

アサ、ションの失敗時のシミュレ、ションコ、ルバック(オプション)

{"}

stopWhenAssertionFail

アサ,ションが失敗したときにシミュレ,ションを中止

'off' | {'on'}

出口

アサ,ション信号の出力

{'off'} | 'on'

图标

ア▪▪コンタ▪▪プの選択

{'graphic'} | 'text'

检查动态范围(Checks_DRange)(屏蔽子系统)

启用

アサ,ションを有効にする

'off' | {'on'}

回调

アサ、ションの失敗時のシミュレ、ションコ、ルバック(オプション)

{"}

stopWhenAssertionFail

アサ,ションが失敗したときにシミュレ,ションを中止

'off' | {'on'}

出口

アサ,ション信号の出力

{'off'} | 'on'

图标

ア▪▪コンタ▪▪プの選択

{'graphic'} | 'text'

检查静态间隙(Checks_SGap)(蒙面子系统)

马克斯

上限

”{100}

max_included

上限を含む

'off' | {'on'}

最小值

下限

{' 0 '}

min_included

下限を含む

'off' | {'on'}

启用

アサ,ションを有効にする

'off' | {'on'}

回调

アサ、ションの失敗時のシミュレ、ションコ、ルバック(オプション)

{"}

stopWhenAssertionFail

アサ,ションが失敗したときにシミュレ,ションを中止

'off' | {'on'}

出口

アサ,ション信号の出力

{'off'} | 'on'

图标

ア▪▪コンタ▪▪プの選択

{'graphic'} | 'text'

检查静态范围(Checks_SRange)(屏蔽子系统)

马克斯

上限

”{100}

max_included

上限を含む

'off' | {'on'}

最小值

下限

{' 0 '}

min_included

下限を含む

'off' | {'on'}

启用

アサ,ションを有効にする

'off' | {'on'}

回调

アサ、ションの失敗時のシミュレ、ションコ、ルバック(オプション)

{"}

stopWhenAssertionFail

アサ,ションが失敗したときにシミュレ,ションを中止

'off' | {'on'}

出口

アサ,ション信号の出力

{'off'} | 'on'

图标

ア▪▪コンタ▪▪プの選択

{'graphic'} | 'text'

检查离散梯度(Checks_Gradient)(屏蔽子系统)

梯度

最大の勾配

{' 1 '}

启用

アサ,ションを有効にする

'off' | {'on'}

回调

アサ、ションの失敗時のシミュレ、ションコ、ルバック(オプション)

{"}

stopWhenAssertionFail

アサ,ションが失敗したときにシミュレ,ションを中止

'off' | {'on'}

出口

アサ,ション信号の出力

{'off'} | 'on'

图标

ア▪▪コンタ▪▪プの選択

{'graphic'} | 'text'

检查动态下限(Checks_DMin)(屏蔽子系统)

启用

アサ,ションを有効にする

'off' | {'on'}

回调

アサ、ションの失敗時のシミュレ、ションコ、ルバック(オプション)

{"}

stopWhenAssertionFail

アサ,ションが失敗したときにシミュレ,ションを中止

'off' | {'on'}

出口

アサ,ション信号の出力

{'off'} | 'on'

图标

ア▪▪コンタ▪▪プの選択

{'graphic'} | 'text'

检查动态上限(Checks_DMax)(屏蔽子系统)

启用

アサ,ションを有効にする

'off' | {'on'}

回调

アサ、ションの失敗時のシミュレ、ションコ、ルバック(オプション)

{"}

stopWhenAssertionFail

アサ,ションが失敗したときにシミュレ,ションを中止

'off' | {'on'}

出口

アサ,ション信号の出力

{'off'} | 'on'

图标

ア▪▪コンタ▪▪プの選択

{'graphic'} | 'text'

检查输入分辨率(Checks_Resolution)(屏蔽子系统)

决议

分解能

{' 1 '}

启用

アサ,ションを有効にする

'off' | {'on'}

回调

アサ、ションの失敗時のシミュレ、ションコ、ルバック(オプション)

{"}

stopWhenAssertionFail

アサ,ションが失敗したときにシミュレ,ションを中止

'off' | {'on'}

出口

アサ,ション信号の出力

{'off'} | 'on'

检查静态下界(Checks_SMin)(屏蔽子系统)

最小值

下限

{' 0 '}

min_included

境界を含む

'off' | {'on'}

启用

アサ,ションを有効にする

'off' | {'on'}

回调

アサ、ションの失敗時のシミュレ、ションコ、ルバック(オプション)

{"}

stopWhenAssertionFail

アサ,ションが失敗したときにシミュレ,ションを中止

'off' | {'on'}

出口

アサ,ション信号の出力

{'off'} | 'on'

图标

ア▪▪コンタ▪▪プの選択

{'graphic'} | 'text'

检查静态上界(Checks_SMax)(屏蔽子系统)

马克斯

上限

{' 0 '}

max_included

境界を含む

'off' | {'on'}

启用

アサ,ションを有効にする

'off' | {'on'}

回调

アサ、ションの失敗時のシミュレ、ションコ、ルバック(オプション)

{"}

stopWhenAssertionFail

アサ,ションが失敗したときにシミュレ,ションを中止

'off' | {'on'}

出口

アサ,ション信号の出力

{'off'} | 'on'

图标

ア▪▪コンタ▪▪プの選択

{'graphic'} | 'text'

全模型实用程序ラaapl . com

ブロック(タプ)/パラメ ダ@ @アログボックスプロンプト

积木支撑表(金宝app块支撑表金宝app)(マスクサブシステム)

DocBlock (DocBlock)(屏蔽子系统)

ECoderFlag

嵌入式编码器®フラグ

{"}

DocumentType

ドキュメントタ@ @プ

{'Text'} | 'RTF' | 'HTML'

型号信息(CMBlock)(屏蔽子系统)

InitialSaveTempField

InitialSaveTempField

{"}

InitialBlockCM

初期のブロックcm

{'没有'}

BlockCM

ブロックcm

{'没有'}

框架

ブロックフレ,ムの表示

'off' | {'on'}

SaveTempField

Tempフィ,ルドの保存

{"}

DisplayStringWithTags

タグと文字列の表示

{“模型信息”}

MaskDisplayString

マスク表示文字列

{“模型信息”}

HorizontalTextAlignment

水平テキスト配置

{“中心”}

LeftAlignmentValue

左整列値

{' 0.5 '}

SourceBlockDiagram

ソ,スブロック線図

{“无题”}

TagMaxNumber

タグの最大数

{“20”}

CMTag1

CMTag1

{"}

CMTag2

CMTag2

{"}

CMTag3

CMTag3

{"}

CMTag4

CMTag4

{"}

CMTag5

CMTag5

{"}

CMTag6

CMTag6

{"}

CMTag7

CMTag7

{"}

CMTag8

CMTag8

{"}

CMTag9

CMTag9

{"}

CMTag10

CMTag10

{"}

CMTag11

CMTag11

{"}

CMTag12

CMTag12

{"}

CMTag13

CMTag13

{"}

CMTag14

CMTag14

{"}

CMTag15

CMTag15

{"}

CMTag16

CMTag16

{"}

CMTag17

CMTag17

{"}

CMTag18

CMTag18

{"}

CMTag19

CMTag19

{"}

CMTag20

CMTag20

{"}

基于时间的线性化(定时的线性化)(屏蔽子系统)

LinearizationTime

線形化の時間

{' 1 '}

SampleTime

(線形化したモデルの)サンプル時間

{' 0 '}

基于触发的线性化(引发了线性化)(屏蔽子系统)

TriggerType

トリガタプ

{'rising'} | 'falling' | 'either' | '函数调用

SampleTime

(線形化したモデルの)サンプル時間

{' 0 '}

端口和子系统ラ@ブラリブロックパラメ@タ@

ブロック(タプ)/パラメ

ダ@ @アログボックスプロンプト

动作端口(ActionPort

InitializeStates

実行再開時の状態

{'held'} | 'reset'

PropagateVarSize

可変サ▪▪ズの信号のサ▪▪ズを伝播

{'仅当执行恢复时'}| '在执行期间'

原子子系统(子系统

ShowPortLabels

端子ラベルを表示

メモ

“关闭”“上”は,下位互換性のためにのみ存在します。新しいモデルや既存のモデルの更新時には使用しないでください。

'none' | {'FromPortIcon'} | 'FromPortBlockName' | 'SignalName' | 'off' | 'on'

BlockChoice

ブロックの選択

{"}

TemplateBlock

テンプレ,トブロック

{"}

MemberBlocks

メンバ,ブロック

{"}

权限

読み取り/書き込み権限

{'ReadWrite'} | 'ReadOnly' | 'NoReadOrWrite'

ErrorFcn

エラ,コ,ルバック関数名

{"}

PermitHierarchical
决议

階層の関連付けを許可

{'All'} | 'ExplicitOnly' | 'None'

TreatAsAtomicUnit

原子サブシステムとして扱う

'off' | {'on'}

TreatAsGroupedWhenPropagatingVariantConditions

バリアント条件の伝播時にはグル,プとして処理

'off' | {'on'}

MinAlgLoopOccurrences

代数ル,プの発生の最小化

{'off'} | 'on'

CheckFcnCallInp
InsideContextMsg

関数呼び出し入力がコンテキスト特有の場合は警告

{'off'} | 'on'

SystemSampleTime

サンプル時間(継承は-1)

{' 1 '}

RTWSystemCode

関数のパッケ,ジ化

{'Auto'} | '内联' | '不可重用函数' | '可重用函数'

RTWFcnNameOpts

関数名オプション

{'Auto'} | '使用用户指定的子系统名称' | '

RTWFcnName

関数名

{"}

RTWFileNameOpts

ファ@ @ル名オプション

{'Auto'} | '使用子系统名称' | '使用函数名称' | '用户指定'

RTWFileName

ファ电子邮箱ル名(拡張子なし)

{"}

FunctionInterfaceSpec

関数▪▪ンタ▪▪フェ▪▪ス

このパラメーターは嵌入式编码器のライセンスとERTベースのシステムターゲットファイルを必要とします。

{'void_void'} | '允许参数(优化)' | '允许参数(匹配图形界面)'

FunctionWithSeparateData

別々のデタをも関数,单独数据函数別々のデタをも関数单独数据函数

このパラメーターは嵌入式编码器のライセンスとERTベースのシステムターゲットファイルを必要とします。

{'off'} | 'on'

RTWMemSecFuncInitTerm

初期化/終了関数のメモリセクション,初始化/终止函数的内存部分初期化/終了関数のメモリセクション初始化/终止函数的内存部分

このパラメーターは嵌入式编码器のライセンスとERTベースのシステムターゲットファイルを必要とします。

{'从模型继承'}|“默认”|モデルのパッケ,ジからのメモリセクションのリスト

RTWMemSecFuncExecute

実行関数のメモリセクション,执行函数内存段実行関数のメモリセクション执行函数内存段

このパラメーターは嵌入式编码器のライセンスとERTベースのシステムターゲットファイルを必要とします。

{'从模型继承'}|“默认”|モデルのパッケ,ジからのメモリセクションのリスト

RTWMemSecDataConstants

定数のメモリセクション,常量内存段定数のメモリセクション常量内存段

このパラメーターは嵌入式编码器のライセンスとERTベースのシステムターゲットファイルを必要とします。

{'从模型继承'}|“默认”|モデルのパッケ,ジからのメモリセクションのリスト

RTWMemSecDataInternal

内部データのメモリセクション,内存部分内部数据内部データのメモリセクション内存部分内部数据

このパラメーターは嵌入式编码器のライセンスとERTベースのシステムターゲットファイルを必要とします。

{'从模型继承'}|“默认”|モデルのパッケ,ジからのメモリセクションのリスト

RTWMemSecDataParameters

パラメーターのメモリセクション,内存部分参数パラメーターのメモリセクション内存部分参数

このパラメーターは嵌入式编码器のライセンスとERTベースのシステムターゲットファイルを必要とします。

{'从模型继承'}|“默认”|モデルのパッケ,ジからのメモリセクションのリスト

DataTypeOverride

ダ@ @アログボックスのプロンプトなし

固定小数点デタ型をオバラドするために使用されるデタ型を指定します。固定小数点ルの[デタ型オバラド]で設定します。

{'UseLocalSettings'} | 'ScaledDouble' | 'Double' | 'Single' | 'Off'

MinMaxOverflowLogging

ダ@ @アログボックスのプロンプトなし

固定小数点の計測の設定。固定小数点ルの[固定小数点の計測機能モ,ド]で設定します。

{'UseLocalSettings'} | ' minmaxanoverflow ' | 'OverflowOnly' | 'ForceOff'

SimViewingDevice

ダ@ @アログボックスのプロンプトなし

“上”に設定すると,ブロックが信号查看子系统として指定されます。信号观察子系统とは、エクスターナル モードでターゲット システムから受信した信号の処理と表示をカプセル化する Atomic Subsystem です。詳細については、信号观察子系统(金宝app仿真软件编码器)を参照してください。

{'off'} | 'on'

IsSubsystemVirtual

布尔-{'off'} | 'on'

読み取り専用

代码重用子系统(子系统

ShowPortLabels

端子ラベルを表示

メモ

“关闭”“上”は,下位互換性のためにのみ存在します。新しいモデルや既存のモデルの更新時には使用しないでください。

'none' | {'FromPortIcon'} | 'FromPortBlockName' | 'SignalName' | 'off' | 'on'

BlockChoice

ブロックの選択

{"}

TemplateBlock

テンプレ,トブロック

{"}

MemberBlocks

メンバ,ブロック

{"}

权限

読み取り/書き込み権限

{'ReadWrite'} | 'ReadOnly' | 'NoReadOrWrite'

ErrorFcn

エラ,コ,ルバック関数名

{"}

PermitHierarchical
决议

階層の関連付けを許可

{'All'} | 'ExplicitOnly' | 'None'

TreatAsAtomicUnit

原子サブシステムとして扱う

'off' | {'on'}

MinAlgLoopOccurrences

代数ル,プの発生の最小化

{'off'} | 'on'

CheckFcnCallInp
InsideContextMsg

関数呼び出し入力がコンテキスト特有の場合は警告

{'off'} | 'on'

SystemSampleTime

サンプル時間(継承は-1)

{' 1 '}

RTWSystemCode

関数のパッケ,ジ化

'Auto' | 'Inline' | '不可重用函数' |{'可重用函数'}

RTWFcnNameOpts

関数名オプション

'自动' |{'使用子系统名称'}| '用户指定'

RTWFcnName

関数名

{"}

RTWFileNameOpts

ファ@ @ル名オプション

'Auto' |{'使用子系统名'}| '使用函数名' | '用户指定'

RTWFileName

ファ电子邮箱ル名(拡張子なし)

{"}

RTWMemSecFuncInitTerm

初期化/終了関数のメモリセクション,初始化/终止函数的内存部分初期化/終了関数のメモリセクション初始化/终止函数的内存部分

このパラメーターは嵌入式编码器のライセンスとERTベースのシステムターゲットファイルを必要とします。

{'从模型继承'}|“默认”|モデルのパッケ,ジからのメモリセクションのリスト

RTWMemSecFuncExecute

実行関数のメモリセクション,执行函数内存段実行関数のメモリセクション执行函数内存段

このパラメーターは嵌入式编码器のライセンスとERTベースのシステムターゲットファイルを必要とします。

{'从模型继承'}|“默认”|モデルのパッケ,ジからのメモリセクションのリスト

RTWMemSecDataConstants

定数のメモリセクション,常量内存段定数のメモリセクション常量内存段

このパラメーターは嵌入式编码器のライセンスとERTベースのシステムターゲットファイルを必要とします。

{'从模型继承'}|“默认”|モデルのパッケ,ジからのメモリセクションのリスト

RTWMemSecDataInternal

内部データのメモリセクション,内存部分内部数据内部データのメモリセクション内存部分内部数据

このパラメーターは嵌入式编码器のライセンスとERTベースのシステムターゲットファイルを必要とします。

{'从模型继承'}|“默认”|モデルのパッケ,ジからのメモリセクションのリスト

RTWMemSecDataParameters

パラメーターのメモリセクション,内存部分参数パラメーターのメモリセクション内存部分参数

このパラメーターは嵌入式编码器のライセンスとERTベースのシステムターゲットファイルを必要とします。

{'从模型继承'}|“默认”|モデルのパッケ,ジからのメモリセクションのリスト

DataTypeOverride

ダ@ @アログボックスのプロンプトなし

固定小数点デタ型をオバラドするために使用されるデタ型を指定します。固定小数点ルの[デタ型オバラド]で設定します。

{'UseLocalSettings'} | 'ScaledDouble' | 'Double' | 'Single' | 'Off'

MinMaxOverflowLogging

ダ@ @アログボックスのプロンプトなし

固定小数点の計測の設定。固定小数点ルの[固定小数点の計測機能モ,ド]で設定します。

{'UseLocalSettings'} | ' minmaxanoverflow ' | 'OverflowOnly' | 'ForceOff'

IsSubsystemVirtual

布尔-{'off'} | 'on'

読み取り専用

可配置子系统(子系统

ShowPortLabels

端子ラベルを表示

メモ

“关闭”“上”は,下位互換性のためにのみ存在します。新しいモデルや既存のモデルの更新時には使用しないでください。

'none' | {'FromPortIcon'} | 'FromPortBlockName' | 'SignalName' | 'off' | 'on'

BlockChoice

ブロックの選択

{"}

TemplateBlock

テンプレ,トブロック

{'自我'}

MemberBlocks

メンバ,ブロック

{"}

权限

読み取り/書き込み権限

{'ReadWrite'} | 'ReadOnly' | 'NoReadOrWrite'

ErrorFcn

エラ,コ,ルバック関数名

{"}

PermitHierarchical
决议

階層の関連付けを許可

{'All'} | 'ExplicitOnly' | 'None'

TreatAsAtomicUnit

原子サブシステムとして扱う

{'off'} | 'on'

MinAlgLoopOccurrences

代数ル,プの発生の最小化

{'off'} | 'on'

CheckFcnCallInp
InsideContextMsg

関数呼び出し入力がコンテキスト特有の場合は警告

{'off'} | 'on'

SystemSampleTime

サンプル時間(継承は-1)

{' 1 '}

RTWSystemCode

関数のパッケ,ジ化

{'Auto'} | '内联' | '不可重用函数' | '可重用函数'

RTWFcnNameOpts

関数名オプション

{'Auto'} | '使用用户指定的子系统名称' | '

RTWFcnName

関数名

{"}

RTWFileNameOpts

ファ@ @ル名オプション

{'Auto'} | '使用子系统名称' | '使用函数名称' | '用户指定'

RTWFileName

ファ电子邮箱ル名(拡張子なし)

{"}

DataTypeOverride

ダ@ @アログボックスのプロンプトなし

固定小数点デタ型をオバラドするために使用されるデタ型を指定します。固定小数点ルの[デタ型オバラド]で設定します。

{'UseLocalSettings'} | 'ScaledDouble' | 'Double' | 'Single' | 'Off'

MinMaxOverflowLogging

ダ@ @アログボックスのプロンプトなし

固定小数点の計測の設定。固定小数点ルの[固定小数点の計測機能モ,ド]で設定します。

{'UseLocalSettings'} | ' minmaxanoverflow ' | 'OverflowOnly' | 'ForceOff'

SimViewingDevice

ダ@ @アログボックスのプロンプトなし

“上”に設定すると,ブロックが信号查看子系统として指定されます。信号观察子系统とは、エクスターナル モードでターゲット システムから受信した信号の処理と表示をカプセル化する Atomic Subsystem です。詳細については、信号观察子系统(金宝app仿真软件编码器)を参照してください。

{'off'} | 'on'

DataTypeOverride

ダ@ @アログボックスのプロンプトなし

固定小数点デタ型をオバラドするために使用されるデタ型を指定します。固定小数点ルの[デタ型オバラド]で設定します。

{'UseLocalSettings'} | 'ScaledDouble' | 'Double' | 'Single' | 'Off'

MinMaxOverflowLogging

ダ@ @アログボックスのプロンプトなし

固定小数点の計測の設定。固定小数点ルの[固定小数点の計測機能モ,ド]で設定します。

{'UseLocalSettings'} | ' minmaxanoverflow ' | 'OverflowOnly' | 'ForceOff'

IsSubsystemVirtual

ダ@ @アログボックスのプロンプトなし

布尔-{'on'} | 'off'

読み取り専用

使(EnablePort

StatesWhenEnabling

ネ,ブル時の状態

{'held'} | 'reset'

PropagateVarSize

可変サ▪▪ズの信号のサ▪▪ズを伝播

{'仅当启用'}| '执行时'

ShowOutputPort

出力端子の表示

{'off'} | 'on'

ZeroCross

ゼロクロッシング検出を有効にする

'off' | {'on'}

启用和触发的子系统(子系统

ShowPortLabels

端子ラベルを表示

メモ

“关闭”“上”は,下位互換性のためにのみ存在します。新しいモデルや既存のモデルの更新時には使用しないでください。

'none' | {'FromPortIcon'} | 'FromPortBlockName' | 'SignalName' | 'off' | 'on'

BlockChoice

ブロックの選択

{"}

TemplateBlock

テンプレ,トブロック

{"}

MemberBlocks

メンバ,ブロック

{"}

权限

読み取り/書き込み権限

{'ReadWrite'} | 'ReadOnly' | 'NoReadOrWrite'

ErrorFcn

エラ,コ,ルバック関数名

{"}

PermitHierarchical
决议

階層の関連付けを許可

{'All'} | 'ExplicitOnly' | 'None'

TreatAsAtomicUnit

原子サブシステムとして扱う

'off' | {'on'}

MinAlgLoopOccurrences

代数ル,プの発生の最小化

{'off'} | 'on'

CheckFcnCallInp
InsideContextMsg

関数呼び出し入力がコンテキスト特有の場合は警告

{'off'} | 'on'

SystemSampleTime

サンプル時間(継承は-1)

{' 1 '}

RTWSystemCode

関数のパッケ,ジ化

{'Auto'} | '内联' | '不可重用函数' | '可重用函数'

RTWFcnNameOpts

関数名オプション

{'Auto'} | '使用用户指定的子系统名称' | '

RTWFcnName

関数名

{"}

RTWFileNameOpts

ファ@ @ル名オプション

{'Auto'} | '使用子系统名称' | '使用函数名称' | '用户指定'

RTWFileName

ファ电子邮箱ル名(拡張子なし)

{"}

DataTypeOverride

ダ@ @アログボックスのプロンプトなし

固定小数点デタ型をオバラドするために使用されるデタ型を指定します。固定小数点ルの[デタ型オバラド]で設定します。

{'UseLocalSettings'} | 'ScaledDouble' | 'Double' | 'Single' | 'Off'

MinMaxOverflowLogging

ダ@ @アログボックスのプロンプトなし

固定小数点の計測の設定。固定小数点ルの[固定小数点の計測機能モ,ド]で設定します。

{'UseLocalSettings'} | ' minmaxanoverflow ' | 'OverflowOnly' | 'ForceOff'

IsSubsystemVirtual

布尔-{'off'} | 'on'

読み取り専用

启用的子系统(子系统

ShowPortLabels

端子ラベルを表示

メモ

“关闭”“上”は,下位互換性のためにのみ存在します。新しいモデルや既存のモデルの更新時には使用しないでください。

'none' | {'FromPortIcon'} | 'FromPortBlockName' | 'SignalName' | 'off' | 'on'

BlockChoice

ブロックの選択

{"}

TemplateBlock

テンプレ,トブロック

{"}

MemberBlocks

メンバ,ブロック

{"}

权限

読み取り/書き込み権限

{'ReadWrite'} | 'ReadOnly' | 'NoReadOrWrite'

ErrorFcn

エラ,コ,ルバック関数名

{"}

PermitHierarchical
决议

階層の関連付けを許可

{'All'} | 'ExplicitOnly' | 'None'

TreatAsAtomicUnit

原子サブシステムとして扱う

'off' | {'on'}

MinAlgLoopOccurrences

代数ル,プの発生の最小化

{'off'} | 'on'

CheckFcnCallInp
InsideContextMsg

関数呼び出し入力がコンテキスト特有の場合は警告

{'off'} | 'on'

SystemSampleTime

サンプル時間(継承は-1)

{' 1 '}

RTWSystemCode

関数のパッケ,ジ化

{'Auto'} | '内联' | '不可重用函数' | '可重用函数'

RTWFcnNameOpts

関数名オプション

{'Auto'} | '使用用户指定的子系统名称' | '

RTWFcnName

関数名

{"}

RTWFileNameOpts

ファ@ @ル名オプション

{'Auto'} | '使用子系统名称' | '使用函数名称' | '用户指定'

RTWFileName

ファ电子邮箱ル名(拡張子なし)

{"}

DataTypeOverride

ダ@ @アログボックスのプロンプトなし

固定小数点デタ型をオバラドするために使用されるデタ型を指定します。固定小数点ルの[デタ型オバラド]で設定します。

{'UseLocalSettings'} | 'ScaledDouble' | 'Double' | 'Single' | 'Off'

MinMaxOverflowLogging

ダ@ @アログボックスのプロンプトなし

固定小数点の計測の設定。固定小数点ルの[固定小数点の計測機能モ,ド]で設定します。

{'UseLocalSettings'} | ' minmaxanoverflow ' | 'OverflowOnly' | 'ForceOff'

IsSubsystemVirtual

布尔-{'off'} | 'on'

読み取り専用

每个人(ForEach

InputPartition

分割

文字ベクトルのcell配列

InputPartitionDimension

入力信号の分割の次元

文字ベクトルのcell配列

InputPartitionWidth

入力信号の分割幅

文字ベクトルのcell配列

OutputConcatenationDimension

出力信号の連結の次元

文字ベクトルのcell配列

对于迭代器(ForIterator

ResetStates

開始時の状態

{'held'} | 'reset'

IterationSource

反復制限元

{'internal'} | 'external'

IterationLimit

反復制限

{' 5 '}

ExternalIncrement

次の我(反復変数)を外部で設定

{'off'} | 'on'

ShowIterationPort

反復変数の表示

'off' | {'on'}

IndexMode

ンデックスモ,ド

'从零开始' |{'从一开始'}

IterationVariable
数据类型

反復変数のデ,タ型

{'int32'} | 'int16' | 'int8' | 'double'

对于迭代器子系统(子系统

ShowPortLabels

端子ラベルを表示

メモ

“关闭”“上”は,下位互換性のためにのみ存在します。新しいモデルや既存のモデルの更新時には使用しないでください。

'none' | {'FromPortIcon'} | 'FromPortBlockName' | 'SignalName' | 'off' | 'on'

BlockChoice

ブロックの選択

{"}

TemplateBlock

テンプレ,トブロック

{"}

MemberBlocks

メンバ,ブロック

{"}

权限

読み取り/書き込み権限

{'ReadWrite'} | 'ReadOnly' | 'NoReadOrWrite'

ErrorFcn

エラ,コ,ルバック関数名

{"}

PermitHierarchical
决议

階層の関連付けを許可

{'All'} | 'ExplicitOnly' | 'None'

TreatAsAtomicUnit

原子サブシステムとして扱う

'off' | {'on'}

MinAlgLoopOccurrences

代数ル,プの発生の最小化

{'off'} | 'on'

CheckFcnCallInp
InsideContextMsg

関数呼び出し入力がコンテキスト特有の場合は警告

{'off'} | 'on'

SystemSampleTime

サンプル時間(継承は-1)

{' 1 '}

RTWSystemCode

関数のパッケ,ジ化

{'Auto'} | '内联' | '不可重用函数' | '可重用函数'

RTWFcnNameOpts

関数名オプション

{'Auto'} | '使用用户指定的子系统名称' | '

RTWFcnName

関数名

{"}

RTWFileNameOpts

ファ@ @ル名オプション

{'Auto'} | '使用子系统名称' | '使用函数名称' | '用户指定'

RTWFileName

ファ电子邮箱ル名(拡張子なし)

{"}

DataTypeOverride

ダ@ @アログボックスのプロンプトなし

固定小数点デタ型をオバラドするために使用されるデタ型を指定します。固定小数点ルの[デタ型オバラド]で設定します。

{'UseLocalSettings'} | 'ScaledDouble' | 'Double' | 'Single' | 'Off'

MinMaxOverflowLogging

ダ@ @アログボックスのプロンプトなし

固定小数点の計測の設定。固定小数点ルの[固定小数点の計測機能モ,ド]で設定します。

{'UseLocalSettings'} | ' minmaxanoverflow ' | 'OverflowOnly' | 'ForceOff'

IsSubsystemVirtual

布尔-{'off'} | 'on'

読み取り専用

函数调用生成器(函数调用生成器)(屏蔽子系统)

sample_time

サンプル時間

{' 1 '}

numberOfIterations

反復回数

{' 1 '}

函数调用子系统(子系统

ShowPortLabels

端子ラベルを表示

メモ

“关闭”“上”は,下位互換性のためにのみ存在します。新しいモデルや既存のモデルの更新時には使用しないでください。

'none' | {'FromPortIcon'} | 'FromPortBlockName' | 'SignalName' | 'off' | 'on'

BlockChoice

ブロックの選択

{"}

TemplateBlock

テンプレ,トブロック

{"}

MemberBlocks

メンバ,ブロック

{"}

权限

読み取り/書き込み権限

{'ReadWrite'} | 'ReadOnly' | 'NoReadOrWrite'

ErrorFcn

エラ,コ,ルバック関数名

{"}

PermitHierarchical
决议

階層の関連付けを許可

{'All'} | 'ExplicitOnly' | 'None'

TreatAsAtomicUnit

原子サブシステムとして扱う

'off' | {'on'}

MinAlgLoopOccurrences

代数ル,プの発生の最小化

{'off'} | 'on'

CheckFcnCallInp
InsideContextMsg

関数呼び出し入力がコンテキスト特有の場合は警告

{'off'} | 'on'

SystemSampleTime

サンプル時間(継承は-1)

{' 1 '}

RTWSystemCode

関数のパッケ,ジ化

{'Auto'} | '内联' | '不可重用函数' | '可重用函数'

RTWFcnNameOpts

関数名オプション

{'Auto'} | '使用用户指定的子系统名称' | '

RTWFcnName

関数名

{"}

RTWFileNameOpts

ファ@ @ル名オプション

{'Auto'} | '使用子系统名称' | '使用函数名称' | '用户指定'

RTWFileName

ファ电子邮箱ル名(拡張子なし)

{"}

DataTypeOverride

ダ@ @アログボックスのプロンプトなし

固定小数点デタ型をオバラドするために使用されるデタ型を指定します。固定小数点ルの[デタ型オバラド]で設定します。

{'UseLocalSettings'} | 'ScaledDouble' | 'Double' | 'Single' | 'Off'

MinMaxOverflowLogging

ダ@ @アログボックスのプロンプトなし

固定小数点の計測の設定。固定小数点ルの[固定小数点の計測機能モ,ド]で設定します。

{'UseLocalSettings'} | ' minmaxanoverflow ' | 'OverflowOnly' | 'ForceOff'

IsSubsystemVirtual

布尔-{'off'} | 'on'

読み取り専用

如果(如果

NumInputs

入力数

{' 1 '}

IfExpression

如果式(たとえば,u1 ~= 0)

{'u1 > 0'}

ElseIfExpressions

Elseif式(コンマ区切りのリスト.;例u2 ~= 0, u3(2) < u2)

{"}

ShowElse

其他条件の表示

'off' | {'on'}

ZeroCross

ゼロクロッシング検出を有効にする

'off' | {'on'}

SampleTime

サンプル時間(継承は-1)

{' 1 '}

如果动作子系统(子系统

ShowPortLabels

端子ラベルを表示

メモ

“关闭”“上”は,下位互換性のためにのみ存在します。新しいモデルや既存のモデルの更新時には使用しないでください。

'none' | {'FromPortIcon'} | 'FromPortBlockName' | 'SignalName' | 'off' | 'on'

BlockChoice

ブロックの選択

{"}

TemplateBlock

テンプレ,トブロック

{"}

MemberBlocks

メンバ,ブロック

{"}

权限

読み取り/書き込み権限

{'ReadWrite'} | 'ReadOnly' | 'NoReadOrWrite'

ErrorFcn

エラ,コ,ルバック関数名

{"}

PermitHierarchical
决议

階層の関連付けを許可

{'All'} | 'ExplicitOnly' | 'None'

TreatAsAtomicUnit

原子サブシステムとして扱う

'off' | {'on'}

MinAlgLoopOccurrences

代数ル,プの発生の最小化

{'off'} | 'on'

CheckFcnCallInp
InsideContextMsg

関数呼び出し入力がコンテキスト特有の場合は警告

{'off'} | 'on'

SystemSampleTime

サンプル時間(継承は-1)

{' 1 '}

RTWSystemCode

関数のパッケ,ジ化

{'Auto'} | '内联' | '不可重用函数' | '可重用函数'

RTWFcnNameOpts

関数名オプション

{'Auto'} | '使用用户指定的子系统名称' | '

RTWFcnName

関数名

{"}

RTWFileNameOpts

ファ@ @ル名オプション

{'Auto'} | '使用子系统名称' | '使用函数名称' | '用户指定'

RTWFileName

ファ电子邮箱ル名(拡張子なし)

{"}

DataTypeOverride

ダ@ @アログボックスのプロンプトなし

固定小数点デタ型をオバラドするために使用されるデタ型を指定します。固定小数点ルの[デタ型オバラド]で設定します。

{'UseLocalSettings'} | 'ScaledDouble' | 'Double' | 'Single' | 'Off'

MinMaxOverflowLogging

ダ@ @アログボックスのプロンプトなし

固定小数点の計測の設定。固定小数点ルの[固定小数点の計測機能モ,ド]で設定します。

{'UseLocalSettings'} | ' minmaxanoverflow ' | 'OverflowOnly' | 'Off'

IsSubsystemVirtual

布尔-{'off'} | 'on'

読み取り専用

三机一体(轮廓尺寸

港口

端子番号

{' 1 '}

IconDisplay

ア@ @コン表示

信号名称|{'端口号'}| '端口号和信号名称'

LatchByDelaying
OutsideSignal

外部信号を遅延して入力をラッチ

{'off'} | 'on'

LatchInputFor
FeedbackSignals

函数调用子系统出力のフィ,ドバック信号の入力をラッチする

{'off'} | 'on'

插入

デ,タを内挿する

'off' | {'on'}

UseBusObject

バスオブジェクトによるプロパティの指定

{'off'} | 'on'

BusObject

バスプロパティを指定するバスオブジェクト

{' BusObject '}

BusOutputAsStruct

非バ,チャルバスとして出力

{'off'} | 'on'

PortDimensions

端子の次元(継承は-1)

{' 1 '}

SampleTime

サンプル時間(継承は-1)

{' 1 '}

OutMin

最小値

{“[]”}

OutMax

最大値

{“[]”}

OutDataTypeStr

デタ型

{“继承:汽车”}|“双”|“单一”|“int8”|“uint8”|“int16”|“uint16”|“int32”|“uint32”|“int64”|“uint64”|“布尔”|“fixdt(0) 1, 16日”|“fixdt(1, 16日2 ^ 0,0)”|“枚举:<类名>

LockScale

固定小数点

{'off'} | 'on'

单位

ブロックに対する入力信号の物理単位を指定します。

{'inherit'}| '<输入单元>'

UnitNoProp

伝播なしのブロックに対する入力信号の物理単位を指定します。許容される単位のリストにいては,允许单位を参照してください。

“<单位>输入”

SignalType

信号タ@ @プ

{'auto'} | 'real' | 'complex'

模型(ModelReference

ModelNameDialog

入力された参照先モデルの名前から前後の空白文字を削除したもの。プログラムまたはGUIによりModelNameDialogを設定すると,金宝appSimulinkにより,ModelNameDialogの値に基づいて,ModelNameおよびModelFileの値が自動的に設定されます。

{'<输入型号名称>'}

ModelName

指定したModelNameDialogの値からファ@ @ル名拡張子を削除したもの。下位互換性のために,ModelNameを設定するとプログラムで実際にはModelNameDialogが設定され,次に記述どおりにModelNameが設定されます。拡張子のない名前は明確ではないため,get_paramを使用して保護されたモデルのModelNameを取得することはできません。代わりにModelFileget_paramを使用します。ProtectedModelをテストして,参照先モデルが保護されているかどうかをプログラムで確認することができます。

文字ベクトル-ModelNameDialogが設定されているときに自動的に設定。

ModelFile

ファ@ @ル名拡張子付きのModelNameDialogの値。金宝appSimulinkにより検索された最初の拡張子がModelFileの拡張子になります。プログラムでModelFileを設定すると,実際にはModelNameDialogを設定することになり,これにより解説されたようにModelFileが設定されます。

文字ベクトル-ModelNameDialogが設定されているときに自動的に設定。

ProtectedModel

ブロックにより参照されるモデルが保護されているか(),保護されていないか()を表す読み取り専用のboolean。

布尔-'off' | 'on'- - - - - -ModelNameDialogが設定されているときに自動的に設定。

InstanceParameters

模型ブロックのンスタンス固有のパラメタの構造体配列。 的名字价值路径,および论点のフィルドをも構造体。

ParameterArgumentNames

参照モデルで定義されているンスタンス固有のパラメタの名前。[huawei @ 123] [huawei @ 123]タブのテ,ブルの[名前]列に対応します。

{"}

ParameterArgumentValues

モデルの引数の値。[huawei @ 123] [huawei @ 123]タブのテ,ブルの[値]列に対応します。

フィ,ルドのない構造体

SimulationMode

モデルのシミュレートに,コードを生成して実行するか,金宝app仿真软件ソフトウェアでモデルを解析するかを指定。

{'正常'}| '加速器' | '软件在环(SIL)' | '处理器在环(PIL)'

变体

模型ブロックがバリアントモデルまたは不同的子系统を参照するかどうかを指定。

{'off'} | 'on'
VariantConfigurationObject モデルに関連付けられたバリアント構成オブジェクトを指定する。 {"}
構成オブジェクトが関連付けられていない場合,値は空の文字ベクトルです。それ以外の場合は,金宝app仿真软件。变体C在figurationDataオブジェクトの名前です。
LabelModeActiveChoice VariantcontrolMode标签に設定されている場合に選択肢を選択するかどうかを指定し,選択する場合はそのラベルモードのアクティブな選択肢の名前を指定。 {"}
ラベルモ,ドのアクティブな選択肢が指定されない場合,値は空の文字ベクトルです。指定される場合は,ラベルモ,ドのアクティブな選択肢の名前です。
ActiveVariant バリアント条件が真正的であるかLabelModeActiveChoiceでこのバリアントが指定されているために現在アクティブになっているバリアント。 {"}
アクティブなバリアントが存在しない場合,値は空の文字ベクトルになります。それ以外は,アクティブなバリアントの名前です。
GeneratePreprocessor
条件

生成コ,ドにプリプロセッサの条件を含めるかどうかをロ,カルに制御します。このパラメ,タ,は,金宝app仿真软件编码器コ,ド生成のみに適用され,金宝appSimulinkのモデルの動作には影響しません。

このパラメタはertタゲットに対してのみ利用可能です。詳細にいては,バリアントシステム(嵌入式编码)を参照してください。

{'off'} | 'on'

DefaultDataLogging

{'off'} | 'on'

着干活(外港

港口

端子番号

{' 1 '}

IconDisplay

ア@ @コン表示

信号名称|{'端口号'}| '端口号和信号名称'

UseBusObject

バスオブジェクトによるプロパティの指定

{'off'} | 'on'

BusObject

入力バスを検証するためのバスオブジェクト

{' BusObject '}

BusOutputAsStruct

親モデル内の非バ,チャルバスとして出力

{'off'} | 'on'

PortDimensions

端子の次元(継承は-1)

{' 1 '}

VarSizeSig

可変サ@ @ズの信号

{'Inherit'} | 'No' | 'Yes'

SampleTime

サンプル時間(継承は-1)

{' 1 '}

OutMin

最小値

{“[]”}

OutMax

最大値

{“[]”}

OutDataTypeStr

デタ型

{“继承:汽车”}|“双”|“单一”|“int8”|“uint8”|“int16”|“uint16”|“int32”|“uint32”|“int64”|“uint64”|“布尔”|“fixdt(0) 1, 16日”|“fixdt(1, 16日2 ^ 0,0)”|“枚举:<类名>”|“总线:<对象名称>

LockScale

固定小数点

{'off'} | 'on'

单位

ブロックに対する入力信号の物理単位を指定します。許容される単位のリストにいては,允许单位を参照してください。

{'inherit'}| '<输入单元>'

UnitNoProp

伝播なしのブロックに対する入力信号の物理単位を指定します。許容される単位のリストにいては,允许单位を参照してください。

“<单位>输入”

SignalObject

このパラメタはブロックのダアログボックスには表示されません。代わりにモデルデ,タエディタ,を使用します。导入ブロックと输出ブロックの設定によるデタンタフェスの設計(金宝app仿真软件编码器)を参照してください。

金宝app仿真软件。信号オブジェクト

金宝app仿真软件。信号から派生したクラスのオブジェクト

StorageClass

このパラメタはブロックのダアログボックスには表示されません。代わりにモデルデ,タエディタ,を使用します。导入ブロックと输出ブロックの設定によるデタンタフェスの設計(金宝app仿真软件编码器)を参照してください。

{'Auto'} | '模型默认' | 'ExportedGlobal' | 'ImportedExtern' | 'ImportedExternPointer' | '自定义'

SignalName

信号名

文字ベクトル

SignalType

信号タ@ @プ

{'auto'} | 'real' | 'complex'

OutputWhenDisabled

ディセ,ブル時の出力

{'held'} | 'reset'

InitialOutput

初期出力

{“[]”}

MustResolveToSignalObject

このパラメタはブロックのダアログボックスには表示されません。代わりにモデルデ,タエディタ,を使用します。信号の場合を参照してください。

{'off'} | 'on'

子系统(子系统

ShowPortLabels

端子ラベルを表示

メモ

“关闭”“上”は,下位互換性のためにのみ存在します。新しいモデルや既存のモデルの更新時には使用しないでください。

'none' | {'FromPortIcon'} | 'FromPortBlockName' | 'SignalName' | 'off' | 'on'

BlockChoice

ブロックの選択

{"}

TemplateBlock

テンプレ,トブロック

{"}

MemberBlocks

メンバ,ブロック

{"}

权限

読み取り/書き込み権限

{'ReadWrite'} | 'ReadOnly' | 'NoReadOrWrite'

ErrorFcn

エラ,コ,ルバック関数名

{"}

PermitHierarchical
决议

階層の関連付けを許可

{'All'} | 'ExplicitOnly' | 'None'

TreatAsAtomicUnit

原子サブシステムとして扱う

{'off'} | 'on'

TreatAsGroupedWhenPropagatingVariantConditions

バリアント条件の伝播時にはグル,プとして処理

'off' | {'on'}

VariantControl

バリアント制御

{'Variant'} | '(默认值)'

MinAlgLoopOccurrences

代数ル,プの発生の最小化

{'off'} | 'on'

CheckFcnCallInp
InsideContextMsg

関数呼び出し入力がコンテキスト特有の場合は警告

{'off'} | 'on'

SystemSampleTime

サンプル時間(継承は-1)

{' 1 '}

RTWSystemCode

コ,ド生成関数のパッケ,ジ化

{'Auto'} | '内联' | '不可重用函数' | '可重用函数'

RTWFcnNameOpts

コ,ド生成関数名のオプション

{'Auto'} | '使用用户指定的子系统名称' | '

RTWFcnName

コ,ド生成関数名

{"}

RTWFileNameOpts

コド生成ファル名のオプション

{'Auto'} | '使用子系统名称' | '使用函数名称' | '用户指定'

RTWFileName

コド生成ファル名(拡張子なし)

{"}

DataTypeOverride

固定小数点デタ型をオバラドするために使用されるデタ型を指定します。固定小数点ルの[デタ型オバラド]で設定します。

{'UseLocalSettings'} | 'ScaledDouble' | 'Double' | 'Single' | 'Off'

MinMaxOverflowLogging

ダ@ @アログボックスのプロンプトなし

固定小数点の計測の設定。固定小数点ルの[固定小数点の計測機能モ,ド]で設定します。

{'UseLocalSettings'} | ' minmaxanoverflow ' | 'OverflowOnly' | 'ForceOff'

IsSubsystemVirtual

布尔-{'on'} | 'off'

読み取り専用

虚拟

内部で使用。

开关盒(SwitchCase

CaseConditions

案例条件(たとえば,{1,[2,3]})

{“{1}”}

ShowDefaultCase

默认值ケ,スを表示

'off' | {'on'}

ZeroCross

ゼロクロッシング検出を有効にする

'off' | {'on'}

SampleTime

サンプル時間(継承は-1)

{' 1 '}

CaseShowDefault

R2009bで廃止

开关个案动作分系统(子系统

ShowPortLabels

端子ラベルを表示

メモ

“关闭”“上”は,下位互換性のためにのみ存在します。新しいモデルや既存のモデルの更新時には使用しないでください。

'none' | {'FromPortIcon'} | 'FromPortBlockName' | 'SignalName' | 'off' | 'on'

BlockChoice

ブロックの選択

{"}

TemplateBlock

テンプレ,トブロック

{"}

MemberBlocks

メンバ,ブロック

{"}

权限

読み取り/書き込み権限

{'ReadWrite'} | 'ReadOnly' | 'NoReadOrWrite'

ErrorFcn

エラ,コ,ルバック関数名

{"}

PermitHierarchical
决议

階層の関連付けを許可

{'All'} | 'ExplicitOnly' | 'None'

TreatAsAtomicUnit

原子サブシステムとして扱う

'off' | {'on'}

MinAlgLoopOccurrences

代数ル,プの発生の最小化

{'off'} | 'on'

CheckFcnCallInp
InsideContextMsg

関数呼び出し入力がコンテキスト特有の場合は警告

{'off'} | 'on'

SystemSampleTime

サンプル時間(継承は-1)

{' 1 '}

RTWSystemCode

コ,ド生成関数のパッケ,ジ化

{'Auto'} | '内联' | '不可重用函数' | '可重用函数'

RTWFcnNameOpts

コ,ド生成関数名のオプション

{'Auto'} | '使用用户指定的子系统名称' | '

RTWFcnName

コ,ド生成関数名

{"}

RTWFileNameOpts

コド生成ファル名のオプション

{'Auto'} | '使用子系统名称' | '使用函数名称' | '用户指定'

RTWFileName

コド生成ファル名(拡張子なし)

{"}

DataTypeOverride

ダ@ @アログボックスのプロンプトなし

固定小数点デタ型をオバラドするために使用されるデタ型を指定します。固定小数点ルの[デタ型オバラド]で設定します。

{'UseLocalSettings'} | 'ScaledDouble' | 'Double' | 'Single' | 'Off'

MinMaxOverflowLogging

ダ@ @アログボックスのプロンプトなし

固定小数点の計測の設定。固定小数点ルの[固定小数点の計測機能モ,ド]で設定します。

{'UseLocalSettings'} | ' minmaxanoverflow ' | 'OverflowOnly' | 'ForceOff'

IsSubsystemVirtual

布尔-{'off'} | 'on'

読み取り専用

触发(TriggerPort

TriggerType

トリガタプ

{'rising'} | 'falling' | 'either' | '函数调用

Is金宝appSimulinkFunction

函数调用子系统をSimulink関数として構成金宝app

{'off'} | 'on'

StatesWhenEnabling

ネ,ブル時の状態

{'held'} | 'reset' | 'inherit'

PropagateVarSize

可変サ▪▪ズの信号のサ▪▪ズを伝播

{'执行期间'}| '仅当启用时'

ShowOutputPort

出力端子の表示

{'off'} | 'on'

OutputDataType

出力デ,タ型

{'auto'} | 'double' | 'int8'

SampleTimeType

サンプル時間のタ@ @プ

{'triggered'} | 'periodic'

SampleTime

サンプル時間

{' 1 '}

ZeroCross

ゼロクロッシング検出を有効にする

'off' | {'on'}

PortDimensions

端子の次元(継承は-1)

{' 1 '}

TriggerSignalSampleTime

信号のサンプル時間のトリガ

{' 1 '}

OutMin

最小値

{“[]”}

OutMax

最大値

{“[]”}

OutDataTypeStr

デタ型

{“继承:汽车”}|“双”|“单一”|“int8”|“uint8”|“int16”|“uint16”|“int32”|“uint32”|“int64”|“uint64”|“布尔”|“fixdt(0) 1, 16日”|“fixdt(1, 16日2 ^ 0,0)”|“枚举:<类名>

插入

デ,タを内挿する

'off' | {'on'}

触发子系统(子系统

ShowPortLabels

端子ラベルを表示

メモ

“关闭”“上”は,下位互換性のためにのみ存在します。新しいモデルや既存のモデルの更新時には使用しないでください。

'none' | {'FromPortIcon'} | 'FromPortBlockName' | 'SignalName' | 'off' | 'on'

BlockChoice

ブロックの選択

{"}

TemplateBlock

テンプレ,トブロック

{"}

MemberBlocks

メンバ,ブロック

{"}

权限

読み取り/書き込み権限

{'ReadWrite'} | 'ReadOnly' | 'NoReadOrWrite'

ErrorFcn

エラ,コ,ルバック関数名

{"}

PermitHierarchical
决议

階層の関連付けを許可

{'All'} | 'ExplicitOnly' | 'None'

TreatAsAtomicUnit

原子サブシステムとして扱う

'off' | {'on'}

MinAlgLoopOccurrences

代数ル,プの発生の最小化

{'off'} | 'on'

CheckFcnCallInp
InsideContextMsg

関数呼び出し入力がコンテキスト特有の場合は警告

{'off'} | 'on'

SystemSampleTime

サンプル時間(継承は-1)

{' 1 '}

RTWSystemCode

コ,ド生成関数のパッケ,ジ化

{'Auto'} | '内联' | '不可重用函数' | '可重用函数'

RTWFcnNameOpts

コ,ド生成関数名のオプション

{'Auto'} | '使用用户指定的子系统名称' | '

RTWFcnName

コ,ド生成関数名

{"}

RTWFileNameOpts

コド生成ファル名のオプション

{'Auto'} | '使用子系统名称' | '使用函数名称' | '用户指定'

RTWFileName

コド生成ファル名(拡張子なし)

{"}

DataTypeOverride

ダ@ @アログボックスのプロンプトなし

固定小数点デタ型をオバラドするために使用されるデタ型を指定します。固定小数点ルの[デタ型オバラド]で設定します。

{'UseLocalSettings'} | 'ScaledDouble' | 'Double' | 'Single' | 'Off'

MinMaxOverflowLogging

ダ@ @アログボックスのプロンプトなし

固定小数点の計測の設定。固定小数点ルの[固定小数点の計測機能モ,ド]で設定します。

{'UseLocalSettings'} | ' minmaxanoverflow ' | 'OverflowOnly' | 'ForceOff'

IsSubsystemVirtual

布尔-{'off'} | 'on'

読み取り専用

单位转换

OutDataTypeStr

出力デ,タ型

{'通过内部规则继承'}| '通过反向传播继承'

系统配置

AllowAllUnitSystems

単位系を許可または制限する。

布尔-{'on'} | 'off'

UnitSystems

許可された単位系を表示します。

文字ベクトルのcell配列-{“SI”,“英语”,SI(扩展)”、“研究生院理事会”}

While迭代器(WhileIterator

MaxIters

最大反復回数(無制限の場合-1)

{' 5 '}

WhileBlockType

而ルプタプ

{'while'} | 'do-while'

ResetStates

開始時の状態

{'held'} | 'reset'

ShowIterationPort

反復回数の端子を表示

{'off'} | 'on'

OutputDataType

出力デ,タ型

{'int32'} | 'int16' | 'int8' | 'double'

迭代器子系统(子系统

ShowPortLabels

端子ラベルを表示

メモ

“关闭”“上”は,下位互換性のためにのみ存在します。新しいモデルや既存のモデルの更新時には使用しないでください。

'none' | {'FromPortIcon'} | 'FromPortBlockName' | 'SignalName' | 'off' | 'on'

BlockChoice

ブロックの選択

{"}

TemplateBlock

テンプレ,トブロック

{"}

MemberBlocks

メンバ,ブロック

{"}

权限

読み取り/書き込み権限

{'ReadWrite'} | 'ReadOnly' | 'NoReadOrWrite'

ErrorFcn

エラ,コ,ルバック関数名

{"}

PermitHierarchical
决议

階層の関連付けを許可

{'All'} | 'ExplicitOnly' | 'None'

TreatAsAtomicUnit

原子サブシステムとして扱う

'off' | {'on'}

MinAlgLoopOccurrences

代数ル,プの発生の最小化

{'off'} | 'on'

CheckFcnCallInp
InsideContextMsg

関数呼び出し入力がコンテキスト特有の場合は警告

{'off'} | 'on'

SystemSampleTime

サンプル時間(継承は-1)

{' 1 '}

RTWSystemCode

コ,ド生成関数のパッケ,ジ化

{'Auto'} | '内联' | '不可重用函数' | '可重用函数'

RTWFcnNameOpts

コ,ド生成関数名のオプション

{'Auto'} | '使用用户指定的子系统名称' | '

RTWFcnName

コ,ド生成関数名

{"}

RTWFileNameOpts

コド生成ファル名のオプション

{'Auto'} | '使用子系统名称' | '使用函数名称' | '用户指定'

RTWFileName

コド生成ファル名(拡張子なし)

{"}

DataTypeOverride

ダ@ @アログボックスのプロンプトなし

固定小数点デタ型をオバラドするために使用されるデタ型を指定します。固定小数点ルの[デタ型オバラド]で設定します。

{'UseLocalSettings'} | 'ScaledDouble' | 'Double' | 'Single' | 'Off'

MinMaxOverflowLogging

ダ@ @アログボックスのプロンプトなし

固定小数点の計測の設定。固定小数点ルの[固定小数点の計測機能モ,ド]で設定します。

{'UseLocalSettings'} | ' minmaxanoverflow ' | 'OverflowOnly' | 'ForceOff'

IsSubsystemVirtual

布尔-{'off'} | 'on'

読み取り専用

バリアントサブシステム(子系统
变体

ダ@ @アログボックスのプロンプトなし

サブシステムが不同的子系统ブロックであるかどうかを確認します。

布尔-{'off'} | 'on'

読み取り専用

VariantChoices

ダ@ @アログボックスのプロンプトなし

不同的子系统ブロック内のバリアント選択肢のリスト。

{"}

ブロックが不同的子系统ブロックでない場合,値は空の構造体配列です。それ以外の場合は,値はフィ,ルド的名字BlockNameをも構造体配列です。

読み取り専用

VariantControl

不同的子系统ブロックの選択ブロックのバリアント制御。

{"}

ブロックがバリアントの選択でない場合,値は空の文字ベクトルです。それ以外の場合は,値は選択ブロックのバリアント制御です。

VariantControlMode

アクティブなバリアントの選択の決定に使用されるモ,ドを指定します。

{'expression'} | 'label' | 'sim码原切换'

LabelModeActiveChoice

VariantControlMode标签として指定されている場合,不同的子系统ブロックのアクティブな選択肢のバリアント制御ラベルを指定します。

{"}

不同的子系统ブロックのVariantControlMode标签でない場合,値は空の文字ベクトルです。それ以外の場合は,値はアクティブな選択肢のバリアント制御ラベルです。

TreatAsGroupedWhenPropagatingVariantConditions

ダ@ @アログボックスのプロンプトなし

バリアント条件を伝播するときに子系统がグル,プとして扱われるかどうかを指定します。

布尔-{'on'} | 'off'

GeneratePreprocessorConditionals

ダ@ @アログボックスのプロンプトなし

すべての選択肢が解析されるかどうか,およびプリプロセッサの条件が生成されるかどうかを指定します。

布尔-{'off'} | 'on'

PropagateVariantConditions

不同的子系统ブロック内の端子のバリアント条件がブロック外部に伝播されるかどうかを指定します。

布尔-{'off'} | 'on'

AllowZeroVariantControls

不同的子系统ブロックをアクティブな選択肢がない設定にできるかどうかを指定します。

布尔-{'off'} | 'on'

变体激活时间

不同的子系统ブロックのアクティブな選択肢がい設定されるかを指定します。

[バリアントのアクティベションのタミング]パラメ,タ,は,[バリアント制御モ,ド]パラメ,タ,を[式]または[sim/codegenの切り替え]に設定する場合のみ使用できます。

{'更新图'}| '更新图分析所有选项' | '代码编译'

信号属性ラ▪ブラリブロックパラメ▪タ▪

ブロック(タプ)/パラメ

ダ@ @アログボックスプロンプト

到向量的总线(BusToVector

数据类型转换(DataTypeConversion

OutMin

出力の最小値

{“[]”}

OutMax

出力の最大値

{“[]”}

OutDataTypeStr

出力デ,タ型

{'继承:通过反向传播继承'}| 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'boolean' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)' | 'Enum: <类名>'

LockScale

固定小数点

{'off'} | 'on'

ConvertRealWorld

等価な値をも入力と出力

{'真实世界值(RWV)'} | '存储整数(SI)'

RndMeth

整数丸めモ,ド

“天花板”|“收敛”|{“地板”}|“最近”|“圆”|“最简单”|“零”

SaturateOnInteger
溢出

整数オ,バ,フロ,で飽和

{'off'} | 'on'

SampleTime

サンプル時間(継承は-1)

{' 1 '}

继承的数据类型转换(转换继承)(屏蔽子系统)

ConvertRealWorld

等価な値をも入力と出力

{'真实世界值'}| '存储整数'

RndMeth

整数丸めモ,ド

“天花板”|“收敛”|{“地板”}|“最近”|“圆”|“最简单”|“零”

DoSatur

オ,バ,フロ,の場合,最大値または最小値に飽和

{'off'} | 'on'

数据类型重复(DataTypeDuplicate

NumInputPorts

入力端子の数

{' 2 '}

数据类型传播(数据类型传播)(マスクサブシステム)

PropDataTypeMode

1.伝播デ,タ型

'通过对话框' |{'通过传播规则继承'}

PropDataType

1.1.伝播デ,タ型(たとえば,fixdt (16)fixdt(单)

{“fixdt (16)}

IfRefDouble

1.1.参照入力が双の場合の出力

{'double'} | 'single'

IfRefSingle

1.2.参照入力が单身の場合の出力

'double' | {'single'}

IsSigned

1.3.符号付き

'IsSigned1' | 'IsSigned2' | {'IsSigned1 or IsSigned2'} | 'TRUE' | 'FALSE'

NumBitsBase

1.4.1.ビット数: ベース

'NumBits1' | 'NumBits2' | {'max([NumBits1 NumBits2])'} | 'min([NumBits1 NumBits2])' | 'NumBits1+NumBits2'

NumBitsMult

1.4.2.ビット数: 乗法調整

{' 1 '}

NumBitsAdd

1.4.3.ビット数: 加法調整

{' 0 '}

NumBitsAllowFinal

1.4.4.ビット数: 許容可能な最終値

{' 1:128 '}

PropScalingMode

2.伝播スケ,リング

通过对话框指定|{'通过传播规则继承'}| '通过最佳精度获取'

PropScaling

2.1.伝播スケ,リング:斜率或[斜率偏差]ex.2^-9

{' 2 ^ -10 '}

ValuesUsedBestPrec

2.1.最高精度のスケリングの決定に使用される値

{' [5 7]}

SlopeBase

2.1.1.勾配: ベース

'Slope1' | 'Slope2' | 'max([Slope1 Slope2])' | {'min([Slope1 Slope2])'} | 'Slope1*Slope2' | 'Slope1/Slope2' | 'PosRange1' | 'PosRange2' | 'max([PosRange1 PosRange2])' | 'min([PosRange1 PosRange2])' | 'PosRange1*PosRange2' | 'PosRange1/PosRange2'

SlopeMult

2.1.2.勾配: 乗法調整

{' 1 '}

SlopeAdd

2.1.3.勾配: 加法調整

{' 0 '}

BiasBase

2.2.1.バereplicationアス: ベース

{'Bias1'} | 'Bias2' | 'max([Bias1 Bias2])' | 'min([Bias1 Bias2])' | 'Bias1*Bias2' | 'Bias1/Bias2' | 'Bias1+Bias2' | 'Bias1-Bias2'

BiasMult

2.2.2.バereplicationアス: 乗法調整

{' 1 '}

BiasAdd

2.2.3.バereplicationアス: 加法調整

{' 0 '}

数据类型缩放带(伸缩带)(屏蔽子系统)

集成电路(InitialCondition

价值

初期値

{' 1 '}

SampleTime

サンプル時間(継承は-1)

{' 1 '}

探测器(探针

ProbeWidth

幅の調査

'off' | {'on'}

ProbeSampleTime

サンプル時間の調査

'off' | {'on'}

ProbeComplexSignal

複素信号の検出

'off' | {'on'}

ProbeSignalDimensions

信号の次元の調査

'off' | {'on'}

ProbeFramedSignal

フレ,ム信号の検出

'off' | {'on'}

ProbeWidthDataType

幅に対するデ,タ型

{'double'} | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | '与输入相同'

ProbeSampleTimeDataType

サンプル時間のデ,タ型

{'double'} | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | '与输入相同'

ProbeComplexityDataType

信号の実数/複素数に対するデタ型

{'double'} | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'boolean' | '与输入相同'

ProbeDimensionsDataType

信号の次元のデ,タ型

{'double'} | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | '与输入相同'

ProbeFrameDataType

信号のフレ,ムのデ,タ型

{'double'} | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'boolean' | '与输入相同'

费率转换(RateTransition

完整性

デ,タ転送中の整合性を確保

'off' | {'on'}

确定的

確定的にデ,タ転送を確保(最大遅延)

'off' | {'on'}

InitialCondition

初期条件

{' 0 '}

OutPortSampleTimeOpt

出力端子のサンプル時間オプション

{'指定'}| '继承' | '输入端口采样时间倍数'

OutPortSampleTimeMultiple

サンプル時間の倍数(>0)

{' 1 '}

OutPortSampleTime

出力端子のサンプル時間

{' 1 '}

信号转换(SignalConversion

ConversionOutput

出力

{'信号拷贝'}| '虚拟总线' | '非虚拟总线'

OutDataTypeStr

デタ型

{'Inherit: auto'} | '总线:<对象名称>'

OverrideOpt

“ブロック削減”の最適化からこのブロックを排除する

{'off'} | 'on'

信号规格(SignalSpecification

次元(継承は-1)

{' 1 '}

VarSizeSig

可変サ@ @ズの信号

{'Inherit'} | 'No' | 'Yes'

SampleTime

サンプル時間(継承は-1)

{' 1 '}

OutMin

最小値

{“[]”}

OutMax

最大値

{“[]”}

OutDataTypeStr

デタ型

{“继承:汽车”}|“双”|“单一”|“int8”|“uint8”|“int16”|“uint16”|“int32”|“uint32”|“int64”|“uint64”|“布尔”|“fixdt(0) 1, 16日”|“fixdt(1, 16日2 ^ 0,0)”|“枚举:<类名>”|“总线:<对象名称>

BusOutputAsStruct

非バ,チャルバスの必要条件

{'off'} | 'on'

单位

ブロックに対する入力信号の物理単位を指定します。許容される単位のリストにいては,允许单位を参照してください。

{'inherit'}| '<输入单元>'

UnitNoProp

伝播なしのブロックに対する入力信号の物理単位を指定します。許容される単位のリストにいては,允许单位を参照してください。

“<单位>输入”

LockScale

固定小数点

{'off'} | 'on'

SignalType

信号タ@ @プ

{'auto'} | 'real' | 'complex'

加权抽样时间(SampleTimeMath

TsampMathOp

演算

'+' | '-' | '*' | '/' |{'仅限Ts '} | '1/仅限Ts '

weightValue

重みの値

{' 1.0 '}

TsampMathImp

実装に使用

{'在线计算'}| '离线缩放调整'

OutDataTypeStr

出力デ,タ型

{'通过内部规则继承'}| '通过反向传播继承'

RndMeth

整数丸めモ,ド

“天花板”|“收敛”|{“地板”}|“最近”|“圆”|“最简单”|“零”

SaturateOnInteger
溢出

整数オ,バ,フロ,で飽和

{'off'} | 'on'

OutputDataTypeScaling
模式

R2009bで廃止

DoSatur

R2009bで廃止

宽度(宽度

OutputDataTypeScaling
模式

出力デ,タ型モ,ド

{'选择内在数据类型'}| '通过反向传播继承' | '所有端口相同的数据类型'

数据类型

出力デ,タ型

{“双重”}|“单一”|“int8”|“uint8”|“int16”|“uint16”|“int32”|“uint32”

信号路由ラ@ブラリブロックパラメ@タ@

ブロック(タプ)/パラメ ダ@ @アログボックスプロンプト

巴士编配(BusAssignment

AssignedSignals

割り当てられる要素

{"}

InputSignals

バス内の要素

行列-{“{}”}

巴士创造者(BusCreator

InheritFromInputs

バスオブジェクトからではなく入力からの名前を使用する

{'on'} | 'off'

“上”に設定されている場合は、入力のバス信号名をオ、バ、ラ、ドします。それ以外の場合は,バスオブジェクトのバス信号名を継承します。

输入

入力数

{' 2 '}

DisplayOption

'none' | '信号' | {'bar'}

NonVirtualBus

非バ,チャルバスとして出力

{'off'} | 'on'

OutDataTypeStr

デタ型

{“继承:汽车”}|“双”|“单一”|“int8”|“uint8”|“int16”|“uint16”|“int32”|“uint32”|“int64”|“uint64”|“布尔”|“fixdt(0) 1, 16日”|“fixdt(1, 16日2 ^ 0,0)”|“枚举:<类名>”|“总线:<对象名称>

巴士选择器(BusSelector

OutputSignals

選択した要素

文字ベクトル-“signal1, signal2”形式

OutputAsBus

バスとして出力

{'off'} | 'on'

InputSignals

バス内信号

行列-{“{}”}

数据存储内存(DataStoreMemory

DataStoreName

デ,タストア名

{A}

ReadBeforeWriteMsg

書き込み前の読み取りを検出

| {'warning'} | 'error'

WriteAfterWriteMsg

書き込み後の書き込みを検出

| {'warning'} | 'error'

WriteAfterReadMsg

読み取り後の書き込みを検出

| {'warning'} | 'error'

InitialValue

初期値

{' 0 '}

StateMustResolveTo
SignalObject

デ,タストア名はSi金宝appmulinkの信号オブジェクトでなければなりません

{'off'} | 'on'

数据采集

信号デ,タのログ

'off' | {'on'}

DataLoggingNameMode

ログ名

{'SignalName'} | '自定义'

DataLoggingName

ログ名

{"}

DataLoggingLimit
越来越多

デ,タ点の制限

'off' | {'on'}

DataLoggingMaxPoints

デ,タ点の制限

非ゼロの整数{5000}

DataLoggingDecimateData

間引き

'off' | {'on'}

DataLoggingLimit
越来越多

間引き

非ゼロの整数{2}

StateStorageClass

ストレ,ジクラス

{'Auto'} | '模型默认' | 'ExportedGlobal' | 'ImportedExtern' | 'ImportedExternPointer' | '自定义'

StateSignalObject

信号オブジェクトクラス

ストレ,ジクラス

金宝app仿真软件。信号オブジェクト

金宝app仿真软件。信号から派生したクラスのオブジェクト

RTWStateStorageType
限定符

コ,ド生成型修飾子

{"}

VectorParams1D

ベクトルパラメ,タ,を1次元として解釈

'off' | {'on'}

ShowAdditionalParam

追加のパラメ,タ,を表示

{'off'} | 'on'

OutMin

最小値

{“[]”}

OutMax

最大値

{“[]”}

OutDataTypeStr

デタ型

{“继承:汽车”}|“双”|“单一”|“int8”|“uint8”|“int16”|“uint16”|“int32”|“uint32”|“布尔”|“fixdt(0) 1, 16日”|“fixdt(1, 16日2 ^ 0,0)”|“枚举:<类名>

LockScale

固定小数点

{'off'} | 'on'

SignalType

信号タ@ @プ

{'auto'} | 'real' | 'complex'

数据存储读取(DataStoreRead

DataStoreElements ブロックダ@ @アログボックスの[要素の選択]タブにあるパラメ,タ,に対応します。コマンドラ@ @ンを使用した指定を参照してください。

DataStoreName

デ,タストア名

{A}

SampleTime

サンプル時間

{' 0 '}

数据存储写入(DataStoreWrite

DataStoreElements ブロックダ@ @アログボックスの[要素の代入]タブにあるパラメ,タ,に対応します。コマンドラ@ @ンを使用した指定を参照してください。

DataStoreName

デ,タストア名

{A}

SampleTime

サンプル時間(継承は-1)

{' 1 '}

多路分配器(多路分配器

输出

出力数

{' 2 '}

DisplayOption

表示オプション

| {'bar'}

环境督察(环境控制器)(マスクサブシステム)

从(

GotoTag

Gotoタグ

{A}

IconDisplay

ア@ @コン表示

信号名称|{'标签'}| '标签和信号名称'

转到(转到

GotoTag

Gotoタグ

{A}

IconDisplay

ア@ @コン表示

信号名称|{'标签'}| '标签和信号名称'

TagVisibility

タグの可視性

{'local'} | 'scoped' | 'global'

标签可见度(GotoTagVisibility

GotoTag

Gotoタグ

{A}

指数向量(MultiPortSwitch

DataPortOrder

デ,タ端子の順序

{'从零开始的连续'}| '从一开始的连续' | '指定索引'

输入

デ,タ端子数

{' 1 '}

zeroidx

R2010aで廃止

SampleTime

サンプル時間(継承は-1)

{' 1 '}

InputSameDT

すべてのデ,タ端子入力が同じデ,タ型をも

{'off'} | 'on'

OutMin

出力の最小値

{“[]”}

OutMax

出力の最大値

{“[]”}

OutDataTypeStr

出力デ,タ型

{'继承:通过内部规则继承'}| '继承:通过反向传播继承' | '双' | '单' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

LockScale

固定小数点

{'off'} | 'on'

RndMeth

整数丸めモ,ド

“天花板”|“收敛”|{“地板”}|“最近”|“圆”|“最简单”|“零”

SaturateOnInteger
溢出

整数オ,バ,フロ,で飽和

{'off'} | 'on'

AllowDiffInputSizes

異なるデタ入力サズを許可する(可変サズの出力信号となる)

{'off'} | 'on'

手动开关(手动开关)(マスクサブシステム)

varsize

異なる入力サaapl . aapl .ズを許可する(可変サaapl .ズの出力信号となる)

{'off'} | 'on'

SampleTime

サンプル時間(継承は-1)

{' 1 '}

合并(合并

输入

入力数

{' 2 '}

InitialOutput

初期出力

{“[]”}

AllowUnequalInput
PortWidths

異なる端子幅を許可

{'off'} | 'on'

InputPortOffsets

入力端子オフセット

{“[]”}

多口交换机(MultiPortSwitch

DataPortOrder

デ,タ端子の順序

'从零开始连续' |{'从一开始连续'}| '指定索引'

输入

デ,タ端子数

{' 3 '}

zeroidx

R2010aで廃止

DataPortIndices

デタ端子ンデックス

{{1,2,3}的}

DataPortForDefault

默认ケ,スのデ,タ端子

{'最后一个数据端口'}| '附加数据端口'

DiagnosticForDefault

默认值ケ,スの診断

' | '警告' |{'错误'}

SampleTime

サンプル時間(継承は-1)

{' 1 '}

InputSameDT

すべてのデ,タ端子入力が同じデ,タ型をも

{'off'} | 'on'

OutMin

出力の最小値

{“[]”}

OutMax

出力の最大値

{“[]”}

OutDataTypeStr

出力デ,タ型

{'继承:通过内部规则继承'}| '继承:通过反向传播继承' | '双' | '单' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

LockScale

固定小数点

{'off'} | 'on'

RndMeth

整数丸めモ,ド

“天花板”|“收敛”|{“地板”}|“最近”|“圆”|“最简单”|“零”

SaturateOnInteger
溢出

整数オ,バ,フロ,で飽和

{'off'} | 'on'

AllowDiffInputSizes

異なるデタ入力サズを許可する(可変サズの出力信号となる)

{'off'} | 'on'

Mux (Mux

输入

入力数

{' 2 '}

DisplayOption

表示オプション

'none' | '信号' | {'bar'}

UseBusObject

内部で使用。

BusObject

内部で使用。

NonVirtualBus

内部で使用。

选择器(选择器

NumberOfDimensions

入力の次元数

{' 1 '}

IndexMode

ンデックスモ,ド

'从零开始' |{'从一开始'}

IndexOptionArray

ンデックスオプション

'选择所有' |{'索引向量(对话框)'}| '索引向量(端口)' | '起始索引(对话框)' | '起始索引(端口)'

IndexParamArray

ンデックス

细胞配列

OutputSizeArray

出力サ@ @ズ

细胞配列

InputPortWidth

入力の端子サ@ @ズ

{' 1 '}

SampleTime

サンプル時間(継承は-1)

{' 1 '}

IndexOptions

詳細にいては,IndexOptionArrayパラメ,タ,を参照してください。

指数

詳細にいては,IndexParamArrayパラメ,タ,を参照してください。

OutputSizes

詳細にいては,IndexParamArrayパラメ,タ,を参照してください。

开关(开关

标准

1番目の入力が通過する条件

{“u2 > =阈值”}|“u2 >阈值”|“u2 ~ = 0”

阈值

しきい値

{' 0 '}

ZeroCross

ゼロクロッシング検出を有効にする

'off' | {'on'}

SampleTime

サンプル時間(継承は-1)

{' 1 '}

InputSameDT

すべてのデ,タ端子入力が同じデ,タ型をも

{'off'} | 'on'

OutMin

出力の最小値

{“[]”}

OutMax

出力の最大値

{“[]”}

OutDataTypeStr

出力デ,タ型

{'继承:通过内部规则继承'}| '继承:通过反向传播继承' | '双' | '单' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)' | 'Enum: <类名>'

LockScale

固定小数点

{'off'} | 'on'

RndMeth

整数丸めモ,ド

“天花板”|“收敛”|{“地板”}|“最近”|“圆”|“最简单”|“零”

SaturateOnInteger
溢出

整数オ,バ,フロ,で飽和

{'off'} | 'on'

AllowDiffInputSizes

異なる入力サaapl . aapl .ズを許可する(可変サaapl .ズの出力信号となる)

{'off'} | 'on'

变体来源(VariantSource

VariantControls

バリアント制御

{'Variant'} | '(默认值)'

LabelModeActiveChoice

ラベルモ,ドのアクティブな選択肢

{"}

AllowZeroVariantControls

ゼロアクティブバリアント制御を許可

{'off'} | 'on'

ShowConditionOnBlock

ブロックのバリアント条件を表示

{'off'} | 'on'

VariantActivationTime

バリアントのアクティベションのタミング

'更新图' | '更新图分析所有选项' | '代码编译'

CompiledActiveVariantControl

字符串- {"}

アクティブなバリアントが存在しない場合,値は空の文字列になります。それ以外は,アクティブなバリアントの名前です。このプロパティを照会する前に,モデルをコンパ。

CompiledActiveVariantPort

字符串- {'-1'}

アクティブなバリアントが存在しない場合,値は-1になります。それ以外は,アクティブなバリアントの。このプロパティを照会する前に,モデルをコンパ。

变型汇(VariantSink

VariantControls

バリアント制御

{'Variant'} | '(默认值)'

LabelModeActiveChoice

ラベルモ,ドのアクティブな選択肢

{"}

AllowZeroVariantControls

ゼロアクティブバリアント制御を許可

{'off'} | 'on'

ShowConditionOnBlock

ブロックのバリアント条件を表示

{'off'} | 'on'

VariantActivationTime

バリアントのアクティベションのタミング

'更新图' | '更新图分析所有选项' | '代码编译'

CompiledActiveVariantControl

字符串- {"}

アクティブなバリアントが存在しない場合,値は空の文字列になります。それ以外は,アクティブなバリアントの名前です。このプロパティを照会する前に,モデルをコンパ。

CompiledActiveVariantPort

字符串- {'-1'}

アクティブなバリアントが存在しない場合,値は-1になります。それ以外は,アクティブなバリアントの。このプロパティを照会する前に,モデルをコンパ。

矢量串联(连接

NumInputs

入力数

{' 2 '}

模式

モド

{'Vector'} | '多维数组'

sinkラブラリブロックパラメタ

ブロック(タプ)/パラメ ダ@ @アログボックスプロンプト

显示器(显示

数字显示格式

形式

{'short'} | 'long' | 'short_e' | 'long_e' | 'bank' | 'hex (Stored Integer)' | 'binary (Stored Integer)' | 'decimal (Stored Integer)' | 'octal (Stored Integer)'

大量毁灭

間引き

{' 1 '}

浮动

フロ,ティング表示

{'off'} | 'on'

SampleTime

サンプル時間(継承は-1)

{' 1 '}

浮动范围(范围

浮动

'off' | {'on'}

位置

ベクトル-{'[376 294 700 533]'}

开放

{'off'} | 'on'

NumInputPorts

このパラメタをコマンドランから変更しないでください。入力を追加するには,信号セレクタ,ボタンを使用してください。

TickLabels

' ' | ' 'off' | {'OneTimeTick'}

ZoomMode

{'on'} | 'xonly' | 'yonly'

AxesTitles

文字ベクトル

网格

'off' | {'on'} | 'xonly' | 'yonly'

TimeRange

{'汽车'}

YMin

{' 5 '}

YMax

{' 5 '}

SaveToWorkspace

{'off'} | 'on'

SaveName

{' ScopeData '}

DataFormat

{'StructureWithTime'} | '结构' | '数组'

LimitDataPoints

'off' | {'on'}

MaxDataPoints

”{5000}

大量毁灭

{' 1 '}

SampleInput

{'off'} | 'on'

SampleTime

{' 0 '}

着干活(外港

港口

端子番号

{' 1 '}

IconDisplay

ア@ @コン表示

信号名称|{'端口号'}| '端口号和信号名称'

BusOutputAsStruct

親モデル内の非バ,チャルバスとして出力

{'off'} | 'on'

PortDimensions

端子の次元(継承は-1)

{' 1 '}

VarSizeSig

可変サ@ @ズの信号

{'Inherit'} | 'No' | 'Yes'

SampleTime

サンプル時間(継承は-1)

{' 1 '}

OutMin

最小値

{“[]”}

OutMax

最大値

{“[]”}

OutDataTypeStr

デタ型

{“继承:汽车”}|“双”|“单一”|“int8”|“uint8”|“int16”|“uint16”|“int32”|“uint32”|“int64”|“uint64”|“布尔”|“fixdt(0) 1, 16日”|“fixdt(1, 16日2 ^ 0,0)”|“枚举:<类名>”|“总线:<对象名称>

LockScale

固定小数点

{'off'} | 'on'

SignalObject

このパラメタはブロックのダアログボックスには表示されません。代わりにモデルデ,タエディタ,を使用します。导入ブロックと输出ブロックの設定によるデタンタフェスの設計(金宝app仿真软件编码器)を参照してください。

金宝app仿真软件。信号オブジェクト

金宝app仿真软件。信号から派生したクラスのオブジェクト

StorageClass

このパラメタはブロックのダアログボックスには表示されません。代わりにモデルデ,タエディタ,を使用します。导入ブロックと输出ブロックの設定によるデタンタフェスの設計(金宝app仿真软件编码器)を参照してください。

{'Auto'} | '模型默认' | 'ExportedGlobal' | 'ImportedExtern' | 'ImportedExternPointer' | '自定义'

SignalName

信号名

文字ベクトル

SignalType

信号タ@ @プ

{'auto'} | 'real' | 'complex'

OutputWhenDisabled

ディセ,ブル時の出力

{'held'} | 'reset'

InitialOutput

初期出力

{“[]”}

MustResolveToSignalObject

このパラメタはブロックのダアログボックスには表示されません。代わりにモデルデ,タエディタ,を使用します。信号の場合を参照してください。

{'off'} | 'on'

范围(范围

浮动

{'off'} | 'on'

位置

ベクトル-{'[188 390 512 629]'}

开放

{'off'} | 'on'

NumInputPorts

このパラメ,タ,をset_paramコマンドで変更しないでください。代わりに,TimeScopeConfigurationオブジェクトまたは范围ブロックの[入力端子の数]パラメ,タ,を使用してください。

TickLabels

' ' | ' 'off' | {'OneTimeTick'}

ZoomMode

{'on'} | 'xonly' | 'yonly'

AxesTitles

文字ベクトル

网格

'off' | {'on'} | 'xonly' | 'yonly'

TimeRange

{'汽车'}

YMin

{' 5 '}

YMax

{' 5 '}

SaveToWorkspace

{'off'} | 'on'

SaveName

{' ScopeData1 '}

DataFormat

{'StructureWithTime'} | '结构' | '数组'

LimitDataPoints

'off' | {'on'}

MaxDataPoints

”{5000}

大量毁灭

{' 1 '}

SampleInput

{'off'} | 'on'

SampleTime

{' 0 '}

停止仿真

《终结者》

存档(去整理

文件名

ファ@ @ル名

{' untitled.mat '}

MatrixName

変数名

{“答”}

SaveFormat

保存形式

{'Timeseries'} | 'Array'

大量毁灭

間引き

{' 1 '}

SampleTime

サンプル時間(継承は-1)

{' 1 '}

到工作区(ToWorkspace

VariableName

変数名

{' simout '}

MaxDataPoints

デ,タ点の制限

{' inf '}

大量毁灭

間引き

{' 1 '}

SampleTime

サンプル時間(継承は-1)

{' 1 '}

SaveFormat

保存形式

{'Timeseries'} | '结构与时间' | '结构' | '数组'

FixptAsFi

Fiオブジェクトとして固定小数点デ,タのログを記録する

{'off'} | 'on'

XY图形(XY范围)(屏蔽子系统)

xmin

X最小値

{' 1 '}

xmax

X最大値

{' 1 '}

ymin

Y最小値

{' 1 '}

ymax

Y最大値

{' 1 '}

サンプル時間

{' 1 '}

来源ラ@ブラリブロックパラメ@タ@

ブロック(タプ)/パラメ

ダ@ @アログボックスプロンプト

限带白噪声(限带白噪音)(マスクサブシステム)

ノズパワ

{' [0.1]}

Ts

サンプル時間

{' 0.1 '}

种子

シド

{' [23341]}

VectorParams1D

ベクトルパラメ,タ,を1次元として解釈

'off' | {'on'}

啁啾信号(尖声地说)(屏蔽子系统)

f1

初期周波数

{' 0.1 '}

T

タ,ゲット時間

”{100}

f2

タ,ゲット時間の周波数

{' 1 '}

VectorParams1D

ベクトルパラメ,タ,を1次元として解釈

'off' | {'on'}

时钟(时钟

DisplayTime

時間を表示

{'off'} | 'on'

大量毁灭

間引き

{“10”}

常数(常数

价值

定数値

{' 1 '}

VectorParams1D

ベクトルパラメ,タ,を1次元として解釈

'off' | {'on'}

SampleTime

サンプル時間

{'基于样本'}| '基于帧'

OutMin

出力の最小値

{“[]”}

OutMax

出力の最大値

{“[]”}

OutDataTypeStr

出力デ,タ型

{'继承:从'常量值"}| '继承:通过反向传播继承' | '双' | '单' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'boolean' | 'fixdt(1,16)' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)' | 'Enum: <类名>'

LockScale

固定小数点

{'off'} | 'on'

SampleTime

サンプル時間

{' inf '}

FramePeriod

フレ,ム周期

{' inf '}

柜台自由运作(计数器不同步的)(マスクサブシステム)

NumBits

ビット数

{' 16 '}

tsamp

サンプル時間

{' 1 '}

柜位有限公司(柜台有限)(マスクサブシステム)

uplimit

上限

{' 7 '}

tsamp

サンプル時間

{' 1 '}

数码钟(DigitalClock

SampleTime

サンプル時間

{' 1 '}

枚举常数(EnumeratedConstant

OutDataTypeStr

出力デ,タ型

{'枚举:SlDemoSign '}

价值

{SlDemoSign。Positive'} | 'SlDemoSign。零' | 'SlDemoSign。消极的

SampleTime

サンプル時間

{' inf '}

从档案(FromFile

文件名

ファ@ @ル名

{' untitled.mat '}

ExtrapolationBeforeFirstDataPoint

最初のデ,タ点前のデ,タ外挿

{'线性外推'}| '保持第一个值' | '接地值'

InterpolationWithinTimeRange

時間範囲内のデ,タ内挿

{'线性插值'}| '零阶保持器'

ExtrapolationAfterLastDataPoint

最後のデ,タ点後のデ,タ外挿

{'线性外推'}| '保持最后值' | '接地值'

SampleTime

サンプル時間

{' 0 '}

来自工作区(FromWorkspace

VariableName

デタ

{“思”}

OutDataTypeStr

出力デ,タ型

{“继承:汽车”}|“双”|“单一”|“int8”|“uint8”|“int16”|“uint16”|“int32”|“uint32”|“int64”|“uint64”|“布尔”|“fixdt(0) 1, 16日”|“fixdt(1, 16日2 ^ 0,0)”|“枚举:<类名>”|“总线:<对象名称>

SampleTime

サンプル時間

{' 0 '}

插入

デ,タを内挿する

'off' | {'on'}

ZeroCross

ゼロクロッシング検出を有効にする

'off' | {'on'}

OutputAfterFinalValue

最後のデ,タ後の出力フォ,ム

{'外推'}| '设置为零' | '保持最终值' | '循环重复'

地面

三机一体(轮廓尺寸

港口

端子番号

{' 1 '}

IconDisplay

ア@ @コン表示

信号名称|{'端口号'}| '端口号和信号名称'

BusOutputAsStruct

非バ,チャルバスとして出力

{'off'} | 'on'

PortDimensions

端子の次元(継承は-1)

{' 1 '}

VarSizeSig

可変サ@ @ズの信号

{'Inherit'} | 'No' | 'Yes'

SampleTime

サンプル時間(継承は-1)

{' 1 '}

OutMin

最小値

{“[]”}

OutMax

最大値

{“[]”}

OutDataTypeStr

デタ型

{“继承:汽车”}|“双”|“单一”|“int8”|“uint8”|“int16”|“uint16”|“int32”|“uint32”|“int64”|“uint64”|“布尔”|“fixdt(0) 1, 16日”|“fixdt(1, 16日2 ^ 0,0)”|“枚举:<类名>”|“总线:<对象名称>

LockScale

固定小数点

{'off'} | 'on'

单位

ブロックに対する入力信号の物理単位を指定します。許容される単位のリストにいては,允许单位を参照してください。

{'inherit'}| '<输入单元>'

UnitNoProp

伝播なしのブロックに対する入力信号の物理単位を指定します。許容される単位のリストにいては,允许单位を参照してください。

“<单位>输入”

SignalType

信号タ@ @プ

{'auto'} | 'real' | 'complex'

LatchByDelaying
OutsideSignal

外部信号を遅延して入力をラッチ

{'off'} | 'on'

LatchInputFor
FeedbackSignals

函数调用子系统出力のフィ,ドバック信号の入力をラッチする

{'off'} | 'on'

OutputFunctionCall

関数呼び出しのトリガ,信号を出力

{'off'} | 'on'

插入

デ,タを内挿する

'off' | {'on'}

脉冲发生器(DiscretePulseGenerator

PulseType

パルスタ@ @プ

{'基于时间的'}| '基于样本的'

TimeSource

時間(t)

{'使用模拟时间'}| '使用外部信号'

振幅

振幅

{' 1 '}

周期

{“10”}

脉冲宽度

パルス幅

{' 5 '}

PhaseDelay

位相遅延

{' 0 '}

SampleTime

サンプル時間

{' 1 '}

VectorParams1D

ベクトルパラメ,タ,を1次元として解釈

'off' | {'on'}

斜坡(斜坡)(屏蔽子系统)

勾配

{' 1 '}

开始

開始時間

{' 0 '}

InitialOutput

初期出力

{' 0 '}

VectorParams1D

ベクトルパラメ,タ,を1次元として解釈

'off' | {'on'}

随机数(RandomNumber

的意思是

平均

{' 0 '}

方差

分散

{' 1 '}

种子

シド

{' 0 '}

SampleTime

サンプル時間

{' 0.1 '}

VectorParams1D

ベクトルパラメ,タ,を1次元として解釈

'off' | {'on'}

重复序列(重复表)(マスクサブシステム)

rep_seq_t

時間値

{' [0 2]}

rep_seq_y

出力値

{' [0 2]}

重复序列插值(重复序列插值)(屏蔽子系统)

个人生

出力値のベクトル

{'[3 1 4 2 1]. "}

时间价值

時間値のベクトル

{'[0 0.1 0.5 0.6 1]. "}

LookUpMeth

ルックアップ手法

{'插值-使用结束值'}| '使用输入最近' | '使用输入低于' | '使用输入高于'

tsamp

サンプル時間

{' 0.01 '}

OutMin

出力の最小値

{“[]”}

OutMax

出力の最大値

{“[]”}

OutDataTypeStr

出力デ,タ型

'继承:通过反向传播继承' | {'double'} | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

OutputDataTypeScaling
模式

R2007bで廃止

OutDataType

R2007bで廃止

OutScaling

R2007bで廃止

LockScale

固定小数点

{'off'} | 'on'

重复序列楼梯(重复序列楼梯)(屏蔽子系统)

个人生

出力値のベクトル

{'[3 1 4 2 1]. "}

tsamp

サンプル時間

{' 1 '}

OutMin

出力の最小値

{“[]”}

OutMax

出力の最大値

{“[]”}

OutDataTypeStr

出力デ,タ型

'继承:通过反向传播继承' | {'double'} | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'boolean' b| 'fixdt(1,16)' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)' | 'Enum: <类名>'

OutputDataTypeScaling
模式

R2007bで廃止

OutDataType

R2007bで廃止

ConRadixGroup

R2007bで廃止

OutScaling

R2007bで廃止

LockScale

固定小数点

{'off'} | 'on'

信号生成器(Sigbuilder块)(マスクサブシステム)

信号编辑器SignalEditor

文件名

ファ@ @ル名

{' untitled.mat '}

ActiveScenario

アクティブなシナリオ

{“场景”}

ActiveSignal

信号

{'信号1 '}

IsBus

バス信号を出力

' | ' {'off'}

OutputBusObjectStr

バスオブジェクトの選択

{“总线:BusObject”}

SampleTIme

サンプル時間

{' 0 '}

插入

デ,タを内挿する

{'off'} | 'on'

ZeroCross

ゼロクロッシング検出を有効にする

{'off'} | 'on'

OutputAfterFinalValue

最後のデ,タ後の出力フォ,ム

{'设置为零'}| '外推' | '持有最终值'

单位

単位

{“继承”}

PreserveSignalName

- - - - - -

布尔-{'off'} | 'on'

NumberOfScenarios

- - - - - -

読み取り専用。この値を取得するにはget_paramを使用します。

NumberOfSignals

- - - - - -

読み取り専用。この値を取得するにはget_paramを使用します。

信号发生器(SignalGenerator

波形

波形

{'sin '} | 'square' | 'sawtooth' | 'random'

TimeSource

時間(t)

{'使用模拟时间'}| '使用外部信号'

振幅

振幅

{' 1 '}

频率

周波数

{' 1 '}

单位

単位

'rad/sec' | {'Hertz'}

VectorParams1D

ベクトルパラメ,タ,を1次元として解釈

'off' | {'on'}

正弦波(

SineType

正弦波タ@ @プ

{'基于时间的'}| '基于样本的'

TimeSource

時間

{'使用模拟时间'}| '使用外部信号'

振幅

振幅

{' 1 '}

偏见

バereplicationアス

{' 0 '}

频率

周波数

{' 1 '}

阶段

位相

{' 0 '}

样品

1周期のサンプル数

{“10”}

抵消

オフセットサンプル数

{' 0 '}

SampleTime

サンプル時間

{' 0 '}

VectorParams1D

ベクトルパラメ,タ,を1次元として解釈

'off' | {'on'}

步骤(一步

时间

ステップ時間

{' 1 '}

之前

初期値

{' 0 '}

最終値

{' 1 '}

SampleTime

サンプル時間

{' 0 '}

VectorParams1D

ベクトルパラメ,タ,を1次元として解釈

'off' | {'on'}

ZeroCross

ゼロクロッシング検出を有効にする

'off' | {'on'}

均匀随机数(UniformRandomNumber

最低

最小値

{' 1 '}

最大

最大値

{' 1 '}

种子

シド

{' 0 '}

SampleTime

サンプル時間

{' 0.1 '}

VectorParams1D

ベクトルパラメ,タ,を1次元として解釈

'off' | {'on'}

波形发生器(WaveformGenerator

OutMin

出力の最小値

{“[]”}

OutMax

出力の最大値

{“[]”}

OutDataTypeStr

出力デ,タ型

'继承:通过反向传播继承' |{'继承:从表数据继承'}| 'double' | 'single' | 'int8' | 'uint8' | 'int16' | 'uint16' | 'int32' | 'uint32' | 'int64' | 'uint64' | 'boolean' | 'fixdt(1,16)' | 'fixdt(1,16,0)' | 'fixdt(1,16,2^0,0)'

LockScale

固定小数点

{'off'} | 'on'

RndMeth

整数丸めモ,ド

“天花板”|“收敛”|“地板”|{“最近”}|“圆”|“最简单”|“零”

SaturateOnIntegerOverflow

整数オ,バ,フロ,で飽和

{'off'} | 'on'

SelectedSignal

出力信号

{' 1 '}

SampleTime

サンプル時間

{' 0 '}

字符串ラ

ブロック(タプ)/パラメ

ダ@ @アログボックスプロンプト

组成字符串组成字符串

格式

形式

スカラ

{" % d % f "}|”“% d”“|”“%我”“|”“%”“阿|”“% x”“|”“% X”“|”“% f”“|”“% e”“|”“% E”“|”“% g”“|”“% G”“|”“% s”“|オプションの演算子とテキスト

OutDataTypeStr

出力デ,タ型

{" stringtype (255)"}|“stringtype (N)"|“字符串”

扫描字符串扫描字符串

格式

形式

スカラ

{" % d % f "}|”“% d”“|”“%我”“|”“%”“阿|”“% x”“|”“% X”“|”“% f”“|”“% e”“|”“% E”“|”“% g”“|”“% G”“|”“% s”“|オプションの演算子とテキスト

字符串比较字符串比较

CaseSensitive

字符串比较的区分大小写

'off' | {'on'}

CompareOption

比較する文字の量

{“整个字符串"}|“前N个字符”

NumberOfCharacters

比較する文字数

{' 1 '}|スカラ

字符串连接字符串连接

输入

入力数

{“2”}

OutDataTypeStr

出力デ,タ型

{" stringtype (128)"}|“stringtype (N)"|“字符串”

字符串常量(字符串常量

字符串

字符串

{"你好!"}|スカラ

OutDataTypeStr

出力デ,タ型

{" stringtype (128)"}|“stringtype (N)"|“字符串”

字符串转换为ASCII (字符串转换为ASCII

OutputVectorSize

出力ベクトルサ@ @ズ

{“31”}|スカラ

String to Double (字符串为Double

格式

形式

スカラ

{" % d % f "}|”“% d”“|”“%我”“|”“%”“阿|”“% x”“|”“% X”“|”“% f”“|”“% e”“|”“% E”“|”“% g”“|”“% G”“|”“% s”“|オプションの演算子とテキスト

字符串到Enum (字符串到Enum

OutDataTypeStr

出力デ,タ型

{“枚举:SlDemoSign”}|<数据类型表达式>

字符串到单个(串到单

格式

形式

スカラ

{" % f "}|”“% d”“|”“%我”“|”“%”“阿|”“% x”“|”“% X”“|”“% f”“|”“% e”“|”“% E”“|”“% g”“|”“% G”“|”“% s”“|オプションの演算子とテキスト

Substring (子字符串

InheritMaximumLength

入力から最大長を継承

'off' | {'on'}

OutDataTypeStr

出力デ,タ型

{“stringtype (31)"}|“stringtype (N)"|“字符串”

StringFromIdxToEnd

'idx'から末尾までの字符串を出力

{'off'} | 'on'

用户自定义函数ラ@ @ブラリブロックパラメ

ブロック(タプ)/パラメ

ダ@ @アログボックスプロンプト

MATLAB函数Stateflow)(マスクサブシステム)

MATLAB系统MATLABSystem

系统

系统对象クラス名

{"}

二级MATLAB s函数M-S-Function

FunctionName

函数名

{' mlfile '}

参数

引数

{"}

MATLAB解释函数MATLABFcn

MATLABFcn

Matlab関数

{}‘罪恶’

OutputDimensions

出力の次元

{' 1 '}

OutputSignalType

出力信号タ@ @プ

{'auto'} | 'real' | 'complex'

Output1D

2次元の結果を1次元に変換

'off' | {'on'}

SampleTime

サンプル時間(継承は-1)

{' 1 '}

功能(功能

FunctionName

函数名

{'系统'}

参数

S-Functionパラメ,タ,

{"}

SFunctionModules

S-Functionモジュ,ル

{"}

S-Function Builder (s函数生成器)(マスクサブシステム)

FunctionName

函数名

{'系统'}

参数

S-Functionパラメ,タ,

{"}

SFunctionModules

S-Functionモジュ,ル

{"}

附加离散ラブラリブロックパラメタ

ブロック(タプ)/パラメ

ダ@ @アログボックスプロンプト

定点状态空间(定点整数)(屏蔽子系统)

一个

状態行列a

{'[2.6020 -2.2793 0.6708;1 0 0;0 1 0]'}

B

入力行列b

{' [1;0;0]}

C

出力行列c

{'[0.0184 0.0024 0.0055]'}

D

直達行列d

{' [0.0033]}

InitialCondition

状態の初期条件

{' 0.0 '}

InternalDataType

内部計算で使用するデ,タ型

{' fixdt(双)”}

StateEqScaling

状態方程式ax + buに対するスケリング

{' 2 ^ 0 '}

OutputEqScaling

出力方程式cx + duに対するスケ,リング

{' 2 ^ 0 '}

LockScale

固定小数点

{'off'} | 'on'

RndMeth

整数丸めモ,ド

“天花板”|“收敛”|{“地板”}|“最近”|“圆”|“最简单”|“零”

DoSatur

オ,バ,フロ,の場合,最大値または最小値に飽和

{'off'} | 'on'

转学Fcn直接表格II (转移Fcn直接表格II)(屏蔽子系统)

NumCoefVec

分子係数

{'[0.2 0.3 0.2]'}

DenCoefVec

先頭を除く分母係数(これは,1.0である必要があります)

{' [-0.9 - 0.6]}

vinit

初期条件

{' 0.0 '}

RndMeth

整数丸めモ,ド

“天花板”|“收敛”|{“地板”}|“最近”|“圆”|“最简单”|“零”

DoSatur

オ,バ,フロ,の場合,最大値または最小値に飽和

{'off'} | 'on'

传递Fcn直接形式II时变(转移Fcn直接形式II时变)(マスクサブシステム)

vinit

初期条件

{' 0.0 '}

RndMeth

整数丸めモ,ド

“天花板”|“收敛”|{“地板”}|“最近”|“圆”|“最简单”|“零”

DoSatur

オ,バ,フロ,の場合,最大値または最小値に飽和

{'off'} | 'on'

启用单位延迟(启用单位延迟)(マスクサブシステム)

vinit

初期条件

{' 0.0 '}

tsamp

サンプル時間

{' 1 '}

启用单元延迟的外部IC (单位延迟启用外部初始条件)(マスクサブシステム)

tsamp

サンプル時間

{' 1 '}

单位延迟启用可重置(单位延迟启用可重置)(屏蔽子系统)

vinit

初期条件

{' 0.0 '}

tsamp

サンプル時間

{' 1 '}

单位延迟启用可重置外部IC (单位延迟启用可重置外部初始条件)(マスクサブシステム)

tsamp

サンプル時間

{' 1 '}

单元延迟外部集成电路(单元延迟外部初始条件)(マスクサブシステム)

tsamp

サンプル時間

{' 1 '}

单位延迟可重置(单位延迟可重置)(マスクサブシステム)

vinit

初期条件

{' 0.0 '}

tsamp

サンプル時間

{' 1 '}

可重置单位延迟的外接IC (单位延迟可重置外部初始条件)(マスクサブシステム)

tsamp

サンプル時間

{' 1 '}

启用预览的单位延迟(单位延迟预览启用)(屏蔽子系统)

vinit

初期条件

{' 0.0 '}

tsamp

サンプル時間

{' 1 '}

启用预览的单位延迟可重置(单位延迟预览启用可重置)(マスクサブシステム)

vinit

初期条件

{' 0.0 '}

tsamp

サンプル時間

{' 1 '}

单位延迟与预览启用可重置外部RV (Unit延迟与预览启用可重置外部RV)(マスクサブシステム)

vinit

初期条件

{' 0.0 '}

tsamp

サンプル時間

{' 1 '}

单位延迟预览可重置(单位延迟预览可重置)(マスクサブシステム)

vinit

初期条件

{' 0.0 '}

tsamp

サンプル時間

{' 1 '}

单位延迟与预览可重置外部RV (单位延迟与预览可重置外部RV)(マスクサブシステム)

vinit

初期条件

{' 0.0 '}

tsamp

サンプル時間

{' 1 '}

额外的数学:递增-递减ライブラリブロックパラメーター

ブロック(タプ)/パラメ

ダ@ @アログボックスプロンプト

递减真实世界(真实世界价值递减)(マスクサブシステム)

递减存储整数(存储整型值递减)(屏蔽子系统)

减少时间至零(减少时间到零)(マスクサブシステム)

递减至零(递减到零)(マスクサブシステム)

增量真实世界(真实世界的价值增值)(マスクサブシステム)

增量存储整数(存储整型值增量)(屏蔽子系统)