主要内容

FPGA数据采集

从现场FPGA捕获信号数据

当设计在FPGA上运行时,使用FPGA数据捕获来观察来自设计的信号。该功能从FPGA捕获信号数据窗口,并将数据返回给MATLAB®或仿真金宝app软件®.为了捕获信号,HDL Verifier™生成一个IP核,您必须将其集成到HDL项目中,并将其与其余设计一起部署到FPGA上。HDL Verifier还生成一个应用程序、系统对象™和Simulink模型,与FPGA通信并将数据返回给MAT金宝appLAB或Simulink。

FPGA数据采集:

  1. 生成定制组件和IP核。为生成的IP指定端口名称和大小。这些端口连接到您想要捕获的信号,以及您想要用作触发器来控制捕获何时发生的信号。

  2. 将生成的IP集成到FPGA设计中,并将设计部署到FPGA板上。

  3. 使用生成的应用程序、系统对象或Simulink模型捕获数据以进行分析、验证或显示。金宝app您可以配置一个触发条件来控制捕获发生的时间,并配置一个捕获条件来控制捕获哪些数据。

看到数据采集流程

工具

FPGA数据捕获组件生成器 配置并生成FPGA数据采集组件
FPGA数据采集 从现场FPGA捕获数据MATLAB工作空间交互

功能

hdlverifier。FPGADataReader 从现场FPGA捕获数据MATLAB工作空间

FPGA数据读取器 从现场FPGA捕获数据金宝app模型

主题