主要内容

FPGA数据捕获

捕获现场FPGA的信号数据

在FPGA上运行时,使用FPGA数据捕获来观察设计信号。此功能捕获了来自FPGA的信号数据窗口,并将数据返回到MATLAB®或模拟金宝app®。为了捕获信号,HDL Verifier™生成了一个IP核心,您必须将其集成到HDL项目中,并与其余的设计一起部署到FPGA。HDL验证器还生成一个应用程序,系统对象™和Simulink模型,该模型与FPGA通信并将数据返回到MATLAB金宝app或SIMULINK。

捕获FPGA数据:

  1. 生成自定义的组件和IP核心。为生成的IP指定端口名称和大小。这些端口连接到您要捕获的信号,以及您要用作捕获时要控制的触发器的信号。

  2. 将生成的IP集成到您的FPGA设计中,并将设计部署到您的FPGA板上。

  3. 使用生成的应用程序,系统对象或Simulink模型捕获数据以进行分析,验证或显示。金宝app您可以配置触发条件,以控制捕获的情况以及捕获条件以控制要捕获的数据。

数据捕获工作流程

工具

FPGA数据捕获组件生成器 配置和生成FPGA数据捕获组件
FPGA数据捕获 将实时FPGA的数据捕获到MATLAB工作空间交互式

功能

hdlverifier.fpgadatareader 将实时FPGA的数据捕获到MATLAB工作区

FPGA数据读取器 将实时FPGA的数据捕获到金宝app模型

话题