主要内容

采用单片机的DC-DC Buck变换器

本实例展示了如何开发DC-DC buck变换器的功率稳压器应用。功率转换模拟和部署的典型挑战包括:

  • 模拟电路的降压转换器电路的行为

  • 在MCU上建模PWM输出和ADC采样的时序行为

  • 在高CPU负载控制器中捕获信号

  • 控制器验证所需的时间,通常在硬件上执行

这些挑战在本例中使用SoC Blockset™和Simscape™解决。本例中使用的数字控制类型是电压模式控制器(VMC),验证在TI Delfino F28379D发射台而且TI BOOSTXL-BUCKCONV套件

这个模型展示了完整的转换器系统,本例中的部分将研究各个挑战。要打开这个模型,运行以下代码。

open_system (“soc_dcdc_buck”

所需的硬件

DC-DC Buck变换器套件模型

DC-DC Buck植物子系统是一个Simscape参考模型的DC-DC buck变换器模拟电路。

模型中的Simscape™块是根据数据表中提供的原始设备制造商(OEM)规范进行选择和配置的。为了在不影响行为的情况下提高仿真计算效率,该模型相对于OEM规范进行了以下简化:

  • 电压和电流传感电路简化为增益块。

  • 场效电晶体简化为理想的mosfet。

  • 门驱动没有建模,也没有考虑门驱动的传播时延。

  • 电感器简化为线性电感器。

  • 所有零件都用标称值建模,不考虑公差。

  • 直流电源假定为常数。

如果需要,可以使用数字示波器将Simscape模型的开环响应与物理硬件进行比较和验证,并使用数据采集工具箱捕获结果。

单片机电压模式控制

在单片机上,输出所采集的植物样本ADC接口在转换的每一端生成一个事件。的任务管理器在接收到每个ADC转换结束事件时执行一个称为ADC的事件驱动任务。ADC中断任务包含对每个ADC转换事件异步执行的反馈控制算法。控制算法通过接收反馈ADC读生成占空比值PWM写块。的脉宽调制接口block模拟PWM行为,包括触发事件开始下一个ADC转换。PWM频率设置为200 kHz。离散比例积分(PI)控制器最小化参考电压和输出电压之间的误差。PI控制器的占空比被限制在PWM时间段的40%。

系统以1伏的初始参考电压开始,并允许达到稳态。这使得物理硬件和模拟之间能够进行公平的控制,以便与已知状态进行比较。然后在50毫秒触发所需的电压阶跃2伏,以检查闭环控制器的阶跃响应。点击模拟模型。打开模拟数据检查器还有查看信号。

为了根据硬件验证仿真结果,将模型部署到TI Delfino F28379D LaunchPad上。在片上系统选项卡上,单击配置、构建和部署打开SoC建设者工具。该图显示了在物理硬件上的仿真模型和部署模型之间控制器响应的比较。硬件上的信号是用数字示波器捕捉到的。控制器的高频率操作防止了在同一CPU上直接使用外部模式。因此,使用数字示波器进行这些测量。

正如预期的那样,电压模式控制器正确地跟踪所需的电压输出。此外,对于这种类型的系统,部署模型的测量结果与模拟结果匹配,精度超过95%。模拟和部署的测量之间的微小差异可以归因于Simscape模型中所做的简化。

利用CPU2的多核数据记录

CPU2配置为外部模式SoC建设者该工具用于记录和传输CPU1控制回路产生的高频信号。一个进程间数据通道block连接CPU1和CPU2,提供低延迟的cpu之间的数据传输。

使用SoC建设者工具部署模型到TI Delfino F28379D发射台。一个主机-目标通信连接,由SoC建设者工具,记录硬件板CPU2上运行的可执行文件的信号数据,并将数据发送到模拟数据检查器在仿真金宝app软件。使用CPU2拥有和管理主机-目标通信和数据日志,可以从CPU1上的资源密集型、高优先级任务中捕获数据,而不会干扰其行为,并使该任务消耗大部分CPU资源,并保持向Simulink记录数据的质量。金宝app该图显示了部署到TI Delfino F28379D LaunchPad上的模型的来自CPU1上的任务1的记录数据信号,在CPU2上的任务2上捕获。

ADC开始转换触发器可以配置为在第1脉宽调制或第2脉宽调制事件时产生。这些设置在模拟和代码生成中可用。观察模拟和码原结果匹配,准确率大于95%。

当模型部署到TI Delfino F28379D LaunchPad时,任何资源密集型SoC Blockset模型都可以使用此设置从硬件记录数据。有关数据日志技术的更多信息,请参见数据记录技术

进一步的探索

  • 扩展到涉及氮化镓(GaN)或碳化硅(SiC)的高频开关应用

  • 可变PWM频率,固定占空比

  • 可变相位偏移

  • 不同的PWM输出方案采用PWM输出控制选项

  • 不同的PWM事件产生技术

另请参阅