主要内容

构建高密度脂蛋白试验台QAM收发器模型

这个例子展示了如何构建一个行为试验台使用SystemVerilog DPI-C组件的一代。这个试验台是用于验证synthesizable HDL代码64 - qam发射机和接收机。

这个示例需要这些额外的工具。*一个支持的HDL模拟器:金宝app节奏®Xcelium™,或导师图形®ModelSim®/ QuestaSim®*的一个支持C编译器:Microsoft®Visual Studio为Windows™,或为Linux®GNU GCC

概述

顶级的QAM接收机结构模型如下图所示。QAM Tx HDL和QAM Rx HDL子系统优化了HDL代码生成。使用HDL编码,我们可以从这两个子系统生成HDL代码。这个例子是附带HDL代码生成,所以你不需要生成这个练习的代码。

设置代码生成模型

建立一个完整的行为testbench HDL,我们需要通道子系统的行为模型,和ErrorRate子系统。有了这些模型,我们可以生成SystemVerilog DPI-C组件对这两个子系统。在生成DPI-C组件之前,我们需要先设置代码生成选项。

开放模型模金宝app型配置参数面板从svdpi_qam模型。设置以下参数:

选择目标文件代码生成- >系统。单击Browse按钮并选择“systemverilog_dpi_grt.tlc”。

如果你有嵌入式编码你可以另外选择systemverilog_dpi_ert目标文件。

在工具链设置,选择一个Visual Studio版本如果您使用的是Windows,或一个GCC的工具链如果您正在使用Linux。

接下来,出口DPI-C MATLAB组件通过执行以下两条命令:

> > slbuild (svdpi_qam /频道)

> > slbuild (“svdpi_qam / ErrorRate”)

运行生成的试验台

对于导师图形ModelSim / QuestaSim,

  1. 开始ModelSim / QuestaSim GUI模式。

  2. 改变当前目录当前MATLAB目录

  3. 输入以下命令,以启动仿真:

QAM_DPIC_tb_mq.do

节奏Xcelium:

  • 开始你的终端外壳。

  • 改变当前目录当前MATLAB目录。

  • 输入以下命令在您的shell。

上海QAM_DPIC_tb_xcelium.sh

最后的模拟,错误率印刷如下:

* * * * * * * * * * * * *模拟总结* * * * * * * * * * * * * * * * * * * *

误比特率:0.001356

的错误数量:4.000000

收到数量:2950.000000

* * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * * *