Main Content

ne,~=

Determine inequality

Syntax

Description

example

A~=Breturns a logical array with elements set to logical1(true) where arraysAandBare not equal; otherwise, the element is logical0(false). The test compares both real and imaginary parts of numeric arrays.nereturns logical1(true) whereAorBhave NaN or undefinedcategoricalelements.

ne(A,B)is an alternative way to executeA ~= B, but is rarely used. It enables operator overloading for classes.

Examples

collapse all

Create two vectors containing both real and imaginary numbers, then compare the vectors for inequality.

A = [1+i 3 2 4+i]; B = [1 3+i 2 4+i]; A ~= B
ans =1x4 logical array1 1 0 0

Thenefunction tests both real and imaginary parts for inequality, and returns logical1(true) where one or both parts are not equal.

创建一个特征向量。

M ='masterpiece';

Test for the presence of a specific character using~=.

M ~='n'
ans =1x11 logical array1 1 1 1 1 1 1 1 1 1 1

The value of logical1(true) indicates the absence of the character'n'. The character is not present in the vector.

Create a categorical array with two values:'heads'and'tails'.

A = categorical({'heads''heads''tails';'tails''heads''tails'})
A =2x3 categoricalheads heads tails tails heads tails

Find all values not in the'heads'category.

A ~='heads'
ans =2x3 logical array0 0 1 1 0 1

A value of logical1(true) indicates a value not in the category. SinceAonly has two categories,A ~= 'heads'returns the same answer asA == 'tails'.

Compare the rows ofAfor inequality.

A(1,:) ~= A(2,:)
ans =1x3 logical array1 0 0

A value of logical1(true) indicates where the rows have unequal category values.

Many numbers expressed in decimal text cannot be represented exactly as binary floating numbers. This leads to small differences in results that the~=operator reflects.

Perform a few subtraction operations on numbers expressed in decimal and store the result inC.

C = 0.5-0.4-0.1
C = -2.7756e-17

With exact decimal arithmetic,Cshould be equal toexactly0. Its small value is due to the nature of binary floating-point arithmetic.

CompareCto0for inequality.

C ~= 0
ans =logical1

Compare floating-point numbers using a tolerance,tol, instead of using~=.

tol = eps(0.5); abs(C-0) > tol
ans =logical0

The two numbers,Cand0, are closer to one another than two consecutive floating-point numbers near0.5. In many situations,Cmay act like0.

Compare the elements of twodatetimearrays for inequality.

Create twodatetimearrays in different time zones.

t1 = [2014,04,14,9,0,0;2014,04,14,10,0,0]; A = datetime(t1,'TimeZone','America/Los_Angeles'); A.Format ='d-MMM-y HH:mm:ss Z'
A =2x1 datetime14-Apr-2014 09:00:00 -0700 14-Apr-2014 10:00:00 -0700
t2 = [2014,04,14,12,0,0;2014,04,14,12,30,0]; B = datetime(t2,'TimeZone','America/New_York'); B.Format ='d-MMM-y HH:mm:ss Z'
B =2x1 datetime14-Apr-2014 12:00:00 -0400 14-Apr-2014 12:30:00 -0400

Check where elements inAandBare not equal.

A~=B
ans =2x1 logical array0 1

Input Arguments

collapse all

操作数, specified as scalars, vectors, matrices, or multidimensional arrays. InputsAandBmust either be the same size or have sizes that are compatible (for example,Ais anM-by-Nmatrix andBis a scalar or1-by-Nrow vector). For more information, seeCompatible Array Sizes for Basic Operations.

You can compare numeric inputs of any type, and the comparison does not suffer loss of precision due to type conversion.

  • If one input is acategoricalarray, the other input can be acategoricalarray, a cell array of character vectors, or a single character vector. A single character vector expands into a cell array of character vectors of the same size as the other input. If both inputs are ordinalcategoricalarrays, they must have the same sets of categories, including their order. If both inputs arecategoricalarrays that are not ordinal, they can have different sets of categories. SeeCompare Categorical Array Elementsfor more details.

  • If one input is adatetimearray, the other input can be adatetimearray, a character vector, or a cell array of character vectors.

  • If one input is adurationarray, the other input can be adurationarray or a numeric array. The operator treats each numeric value as a number of standard 24-hour days.

  • 如果一个输入是一个年代tring array, the other input can be a string array, a character vector, or a cell array of character vectors. The corresponding elements ofAandBare compared lexicographically.

Data Types:single|double|int8|int16|int32|int64|uint8|uint16|uint32|uint64|logical|char|string|categorical|datetime|duration
Complex Number Support:Yes

Extended Capabilities

HDL Code Generation
Generate Verilog and VHDL code for FPGA and ASIC designs using HDL Coder™.

Version History

Introduced before R2006a

expand all

Behavior changed in R2020b

Behavior changed in R2016b