主要内容

このページの翻訳は最新ではありません。ここをクリックして,英語の最新版を参照してください。

トリガー信号とスカラー化の使用とテストポイントDUT端子生成のパラメーター

このページでは[コンフィギュレーションパラメーター]ダイアログボックスの(高密度脂蛋白コード生成)[グローバル設定)[端子]タブにあるパラメーターについて説明します。

トリガー信号をクロックとして使用

この設定は[コンフィギュレーションパラメーター]ダイアログボックスの(高密度脂蛋白コード生成)[グローバル設定)[端子]タブのパラメーターに対応します。

設定

既定の設定:オフ

オン

触发子系统について,トリガー入力信号を生成されたHDLコード内でクロックとして使用します。[コンフィギュレーションパラメーター]ダイアログボックスの[クロックエッジ]設定が,触发子系统内の触发ブロックの[トリガータイプ]と一致していることを確認します。

オフ

触发子系统について,トリガー入力信号を生成されたHDLコード内でクロックとして使用しません。

コマンドライン情報

プロパティ:TriggerAsClock
型:文字ベクトル
値:“上”|“关闭”
既定の設定:“关闭”

このプロパティを設定するには,hdlset_paramまたはmakehdlを使用します。プロパティの値を表示するには,hdlget_paramを使用します。

たとえば,トリガー信号をsfir_fixed / symmetric_firDUTサブシステム内にある触发子系统のクロックとして使用するHDLコードを生成するには,次のいずれかの方法を使用します。

  • プロパティを引数として関数makehdlに渡す。

    makehdl (“sfir_fixed / symmetric_sfir”“TriggerAsClock”“上”
  • hdlset_paramを使用すると,モデルにパラメーターを設定してから,makehdlを使用してHDLコードを生成できる。

    hdlset_param (“sfir_fixed”“TriggerAsClock”“上”) makehdl (“sfir_fixed / symmetric_fir”

テストポイントのHDL DUT端子生成の有効化

生成されたHDLコードで,テストポイント信号のDUT出力端子を生成するには,この設定を有効にしてください。

設定

既定の設定:オフ

オン

この設定を有効にすると,コードジェネレーターは,生成高密度脂蛋白コード内にテストポイント信号に対応するDUT出力端子を生成します。これらの信号に対応する出力端子に范围ブロックを接続することで,テストポイント信号を観察し,設計をデバッグできます。

オフ

この設定を無効にすると,コードジェネレーターは,テストポイント信号を保持し,生成高密度脂蛋白コード内にDUT出力端子を生成しません。

メモ

Stateflow®图表内のステートにテストポイントを指定した場合,この設定はコードジェネレーターによって無視されます。

コマンドライン情報

プロパティ:EnableTestpoints
型:文字ベクトル
値:“上”|“关闭”
既定の設定:“关闭”

このプロパティを設定するには,hdlset_paramまたはmakehdlを使用します。プロパティの値を表示するには,hdlget_paramを使用します。

たとえば,信号をsfir_fixed / symmetric_firDUTサブシステムのテストポイントとして指定した後で,高密度脂蛋白コード内でDUT出力端子を生成するには,次のいずれかの方法を使用します。

  • プロパティを引数として関数makehdlに渡す。

    makehdl (“sfir_fixed / symmetric_sfir”“EnableTestpoints”“上”
  • hdlset_paramを使用すると,モデルにパラメーターを設定してから,makehdlを使用してHDLコードを生成できる。

    hdlset_param(‘sfir_fixed’,‘EnableTestpoints’,‘上’)makehdl(“sfir_fixed / symmetric_fir”)

参考

高密度脂蛋白编码器によるテストポイント信号のモデル化およびデバッグ

端子のスカラー化

硬件描述语言(VHDL)®コード内のベクトル端子をスカラー端子の構造体にフラット化します。

設定

既定の設定:オフ

ベクトル端子のコードを生成する際にスカラー端子の構造体を生成します。

ベクトル端子のコードを生成する際に,ベクトル端子の型定義と端子宣言を生成します。

DUTレベル

ベクトル端子のコードを生成する際に,DUTレベルのみに存在するベクトル端子のスカラー端子の構造体を生成します。DUTサブシステムは,モデルの最上位レベルに存在する必要はありません。

依存関係

このオプションは,ターゲット言語([言語]オプションで指定)が硬件描述语言(VHDL)の場合に有効になります。

コマンドライン情報

プロパティ:ScalarizePorts
型:文字ベクトル
値:“上”|“关闭”|“dutlevel”
既定の設定:“关闭”

このプロパティを設定するには,hdlset_paramまたはmakehdlを使用します。プロパティの値を表示するには,hdlget_paramを使用します。

参考

生成された硬件描述语言(VHDL)コードのベクトル端子のスカラー化