主要内容

或者|

找到逻辑或

语法

描述

例子

一个|B对数组执行逻辑或一个B并返回一个数组,其中的元素设置为逻辑1 (真的)或逻辑0 ().输出数组的一个元素被设置为逻辑1 (真的)如果一个或者B在相同的数组位置包含一个非零元素。否则,数组元素被设置为0。

有关按位的逻辑或操作,请参见bitor

或(一个B是另一种执行方式吗A |,但很少使用。它允许类的操作符重载。

例子

全部折叠

找到逻辑或两个矩阵。结果包含逻辑1真的)矩阵包含非零值。结果中的零表示斑点,其中两个阵列具有零的值。

A = [5 7 0;0 2 9;5 0 0)
一个=3×35 7 0 0 2 9 5 0 0
B = [6 6 0;1 3 5;1 0 0)
B =3×36 6 0 1 3 5 1 0 0
A |
ans =3 x3逻辑阵列1 1 0 1 1 1 1 0 0

创造一个真理表或者

A =[真假]
一个=1 x2逻辑阵列1 0
b = [true;错误的]
B =2 x1逻辑阵列1 0
C = | B
C =2x2逻辑阵列1 1 1 0

输入参数

全部折叠

操作数,指定为标量、向量、矩阵或多维数组。输入一个B大小必须相同或具有兼容的大小(例如,一个是一个-经过-N矩阵和B为标量或1-经过-N行向量)。有关更多信息,请参见基本操作的兼容数组大小

数据类型:||int8|INT16|INT32.|int64|uint8|uint16|uint32|uint64|逻辑

提示

  • 您可以将几个逻辑操作链接在一起,例如,2 . c

  • 这些符号|||在MATLAB中执行不同的操作®.这里描述的元素的OR操作符是|.短路或运算符为||

  • 当您使用元素 - 明智时|对象上下文中的操作符如果或者尽管循环表达式(仅在该上下文中),它们使用短路来计算表达式。否则,必须指定& &或者||选择短路行为。看到逻辑运算符:Short-Circuit && || .为更多的信息。

兼容性考虑因素

全部展开

行为在R2016b中改变

扩展功能

C / c++代码生成
使用MATLAB®Coder™生成C和C ++代码。

GPU的代码生成
使用GPU编码器™生成NVIDIA®GPU的CUDA®代码。

HDL代码生成
使用HDL Coder™生成FPGA和ASIC设计的Verilog和VHDL代码。

在R2006A之前介绍