主要内容

使用UVM和SystemVerilog组件进行验证

UVM或SystemVerilog DPI组件的产生

完成模拟后金宝app®或matlab®模型,将测试组件导出到通用验证方法(UVM)或SystemVerilog环境中,通过将HDL Verifier™与金宝appSimulink Coder™或者MATLAB编码器

从函数或模型生成SystemVerilog直接编程接口(DPI)组件。然后,您可以将组件用作HDL模拟环境中的行为模型。有关更多信息,请参阅Systemverilog DPI组件生成

HDL验证器利用DPI生成技术来创建UVM测试环境。环境包括一个UVM顶部模块,其行为设计正在测试(DUT)和UVM测试工作台。您可以用自己的HDL DUT替换DUT,也可以替换一部分测试台,并在UVM测试环境中使用它们。有关更多信息,请参阅UVM组件生成概述

类别