主要内容

为HDL协同仿真块导入HDL代码

Cosimulation类型,金宝app

打开你的模型,然后应用程序选项卡上,单击高密度脂蛋白验证器.然后,在模式部分选择高密度脂蛋白Cosimulation,然后单击进口高密度脂蛋白文件打开Cosimulation向导

  1. 选择ModelSim敏锐的高密度脂蛋白模拟器

  2. 选择在系统路径上使用HDL模拟器可执行程序如果那是文件所在的地方。在默认情况下,协同模拟向导假设它们在系统路径上。

    如果HDL模拟器可执行文件是在系统路径下,选择在以下位置使用下面的HDL模拟器可执行程序并在下面的文本框中指定文件夹位置。

    如果您点击下一个如果协同仿真向导没有找到可执行文件,则会发生以下情况:

    • 您被返回到此对话框,并且协同模拟向导在状态窗格中显示一个错误。

    • 协同模拟向导将选项切换为在以下位置使用下面的HDL模拟器可执行程序

    • 协同仿真向导使HDL模拟路径字段可以编辑。

    在允许继续之前,必须输入到HDL模拟器可执行文件的有效路径。

  3. 点击下一个

高密度脂蛋白文件-金宝app

高密度脂蛋白的文件窗格中,指定要在创建函数或块时使用的文件。

  • 协同模拟向导尝试确定每个文件的文件类型,并在文件列表在文件名旁边。如果协同模拟向导不能确定类型或显示错误类型,您可以直接在文件类型列。

  • 如果可能,协同仿真向导将使用HDL模拟器提供的功能自动确定编译顺序。这意味着您可以以任何顺序添加文件。

  • 如果您正在使用ModelSim®,您将看到编译脚本被列出为.do文件(ModelSim宏文件)。如果你用的是Incisive®,您将看到作为系统脚本列出的编译脚本。

  1. 点击添加选择一个或多个文件名。

  2. 中首先高亮显示文件名来删除文件文件列表,然后点击删除选中文件

  3. 点击下一个

高密度脂蛋白编译,金宝app

高密度脂蛋白编译窗格中,您可以查看生成的HDL编译命令。如果您愿意,您可以覆盖和/或自定义这些命令。如果包含编译脚本而不是HDL文件,该窗格将显示运行这些脚本的命令。

  1. 中输入对命令的任何更改编译命令盒子。

    请注意

    不包括系统shell命令;例如:

    设置文件= a.vhd vcom $file

    当控制从执行命令返回到Cosimulation Wizard时,变量不再保留设置的值。如果您尝试包含这种类型的命令,您将在状态面板。

  2. 点击恢复默认的命令返回生成的HDL编译命令。系统要求您确认是否要放弃任何更改。

  3. 点击下一个继续。

模拟选项-金宝app

模拟选项窗格中,提供要在协同仿真中使用的HDL模块的名称。

  1. 输入模块的名称要进行协同仿真的HDL模块名称

  2. 指定附加的模拟选项模拟选项.例如,在上图中,显示的选项是:

    • 高密度脂蛋白模拟器解决方案

    • 关闭从模拟视图中移除信号的优化

    点击恢复默认值将选项更改回默认值。

  3. 连接方法中,选择共享内存如果您的防火墙策略不允许TCP/IP套接字通信。

  4. 点击下一个进行下一步。在这个过程中,应用程序在命令窗口中执行以下操作:

    • 启动HDL模拟器。

    • 在HDL模拟器中加载HDL模块。

    • 启动HDL服务器,并等待接收服务器已启动的通知。

    • 与HDL服务器连接以获取端口信息。

    • 断开并关闭HDL服务器。

输入/输出端口金宝app

  1. 金宝app仿真软件的端口窗格中,指定每个输入和输出端口的类型。

    • 协同模拟向导试图为您确定端口类型,但您可以重写任何设置。有关受支金宝app持的数据类型,请参见金宝app支持的数据类型

    • 对于输入端口,请选择输入时钟重置,或未使用的

    • 对于输出端口,请选择输出未使用的

    • 金宝app®通过Tcl命令在HDL模拟器中强制时钟和复位信号。您可以在后面的步骤中指定时钟和复位信号定时(参见时钟/重置Details-Simulin金宝appk块).

    • 要用Simulink信号驱动HDL时钟和重置信号,将它们标记为金宝app输入

  2. 点击下一个进入输出端口详细信息- simulink模块金宝app

输出端口的详细信息-金宝app

  1. 输出端口的详细信息窗格中,设置所有输出端口的示例时间和数据类型。

    • 样本时间默认为1,数据类型默认为继承签署.这些默认值与高密度脂蛋白Cosimulation块面具(港口选项卡)设置输出端口的默认设置。

    • 如果您选择将所有样本时间和数据类型设置为“继承”,端口通过反向传播继承Times(采样次数设置为-1)。然而,反向传播在某些情况下可能会失败;看到样本时间中的反向传播(金宝app模型)

  2. 点击下一个

时钟/重置细节-金宝app

  1. 时钟/重置细节窗格,设置时钟和重置参数。

    • 这里指定的时间段指的是HDL模拟器中的时间。

    • 时钟的默认设置是上升的活动边和10纳秒的周期。

    • reset默认设置的初始值为0,持续时间为15 ns。

    下一个屏幕提供了模拟开始时间的可视化显示,您可以在其中查看时钟和重置是如何排列的。

  2. 点击下一个

开始时间对齐,金宝app

  1. 开始时间对齐窗格中,查看当前的时钟和重置设置。这个对话框的目的有两个:

    • 确保上升或下降边按预期设置(从上一步)

      • 检查开始时间。如果它与时钟的活动边缘重合,则需要调整HDL模拟器的开始时间。

      • 检查复位信号。如果它与时钟活动边缘同步,则可能存在竞争条件。

        要避免竞争条件,请确保开始时间不与任何时钟的活动边缘重合。您可以通过移动开始时间或在前一步中更改时钟活动边来实现这一点。

    • 确保开始的时间是你想要的。

    HDL模拟器的启动时间是根据前一个窗格中的时钟和重置值计算的。如果您愿意,您可以通过在您看到的地方输入一个新值来更改HDL模拟器的开始时间启动联合仿真的HDL时间(ns).点击更新图查看更改的应用。

  2. 点击下一个

生成块

  1. 通过选择,指定是否希望HDL验证器™确定启动模拟时的时间尺度自动确定时间刻度开始模拟.如果您希望自己确定时间刻度,请不选中此框,并在下面的文本框中输入时间刻度值。默认值是自动确定时间刻度。

    有关时间尺度的更多信息,请参见模拟时间尺度

  2. 点击回来查看或更改设置。

  3. 点击完成生成HDL协同仿真块。

完整的金宝app模型

Cosimulation向导工具插入以下项目到您的模型:

  • 一个高密度脂蛋白Cosimulation

  • 说明:一个实用函数编译的HDL设计。-

  • 启动HDL模拟器的实用函数

  1. 的输入和输出高密度脂蛋白Cosimulation块排队。

  2. 将目标模型中的块连接到高密度脂蛋白Cosimulation块。

请注意

如果你打开Cosimulation向导从命令行,而不是从Simulink工具条,金宝app高密度脂蛋白Cosimulation效用函数在一个新的模型中打开。您首先必须将它们复制到您的模型中。

当您完成模型时,请参见执行Cosimulation为HDL协同仿真的下一个步骤。

另请参阅

相关的话题