主要内容

重置设置和参数

这个页面描述参数时钟设置部分的HDL代码生成>全局设置面板的配置参数对话框。使用这些参数,可以指定重置名称,是否使用同步或异步复位,复位是否宣称高电平或校验。

重置类型

指定是否使用异步或同步复位逻辑生成HDL代码时寄存器。建议您指定重置类型作为同步当你使用Xilinx®设备和异步当你使用一个阿尔特拉®设备。

设置

默认值:异步

异步

使用异步复位逻辑。这个复位逻辑重置时钟信号独立的样品。

下面的过程,生成的单位延迟块,说明了使用异步重置。复位信号时断言,这个过程块执行重置,不检查时钟事件。

Unit_Delay1_process:过程(时钟、复位)开始如果重置= ' 1 '然后Unit_Delay1_out1 < =(别人= > ' 0 ');ELSIF clk 'event clk = ' 1 '如果clk_enable = ' 1 '然后Unit_Delay1_out1 < =签署(x_in);如果;如果;结束过程Unit_Delay1_process;

同步

使用同步复位逻辑。这个复位逻辑样品重置对时钟信号。

下面的过程,生成的单位延迟块,检查时钟事件,不断上升的边缘,在执行之前重置:

Unit_Delay1_process:过程(时钟)开始如果rising_edge(时钟),那么如果重置= ' 1 '然后Unit_Delay1_out1 < =(别人= > ' 0 ');ELSIF clk_enable = ' 1 '然后Unit_Delay1_out1 < =签署(x_in);如果;如果;结束过程Unit_Delay1_process;

命令行信息

属性:ResetType
类型:特征向量
值:“异步”|“同步”
默认值:“异步”

要设置该属性,使用功能hdlset_parammakehdl。要查看属性值,可以使用函数hdlget_param

例如,您可以指定同步随着ResetType当你产生HDL代码symmetric_fir子系统内部的sfir_fixed使用这两种方法模型。

  • 通过财产作为参数makehdl函数。

    makehdl (“sfir_fixed / symmetric_fir”,“ResetType”,“异步”)
  • 当你使用hdlset_param,你可以设置的参数模型,然后生成HDL代码使用makehdl

    hdlset_param (“sfir_fixed”,“ResetType”,“异步”)makehdl (“sfir_fixed / symmetric_fir”)

另请参阅

重置断言水平

指定的断言或活动水平是否复位输入信号高电平或校验。

设置

默认值:高电平

高电平

指定断言的水平的输入信号高电平复位。例如,下面的代码片段检查是否重置是活跃的高填充之前delay_pipeline注册:

Delay_Pipeline_Process:过程(时钟、复位)开始如果重置= ' 1 '然后delay_pipeline(0到50)< =(别人= >(别人= > ' 0 '));。

校验

指定断言的复位输入信号校验。例如,下面的代码片段检查是否重置是活跃的低填充之前delay_pipeline注册:

Delay_Pipeline_Process:过程(时钟、复位)开始如果重置= ' 0 '然后delay_pipeline(0到50)< =(别人= >(别人= > ' 0 '));。

依赖

如果你输入一个逻辑高价值复位输入端口重置注册在你的设计,集重置断言水平高电平。如果你输入一个逻辑低价值复位输入端口重置注册在你的设计,集重置断言水平校验

命令行信息

属性:ResetAssertedLevel
类型:特征向量
值:高电平的|“校验”
默认值:高电平的

要设置该属性,使用功能hdlset_parammakehdl。要查看属性值,可以使用函数hdlget_param

例如,您可以指定这个属性而生成HDL代码symmetric_fir子系统内部的sfir_fixed使用这两种方法模型。

  • 使用hdlset_param设置的参数模型。然后生成HDL代码使用makehdl

    hdlset_param (“sfir_fixed”,“ResetAssertedLevel”,高电平的)makehdl (“sfir_fixed / symmetric_fir”)

  • 通过财产作为参数makehdl函数。

    makehdl (“sfir_fixed / symmetric_fir”,“ResetAssertedLevel”,高电平的)

另请参阅

复位输入端口

复位输入端口的输入名称生成的HDL代码。

设置

默认值:重置

为复位输入端口名称输入特征向量生成的HDL代码。

例如,如果您覆盖默认的“chip_reset”生成系统myfilter,生成的实体声明可能看起来如下:

实体myfilter端口(std_logic clk:;在std_logic clk_enable:;在std_logic chip_reset:;myfilter_in: std_logic_vector(15报纸0);myfilter_out: std_logic_vector(15报纸0););myfilter结束;

如果你指定一个硬件描述语言(VHDL)®或Verilog®保留字,代码生成器附加一个保留字后缀字符串形成一个有效的硬件描述语言(VHDL)或Verilog标识符。例如,如果您指定保留字信号,由此产生的名字字符串signal_rsvd

依赖

如果你指定高电平重置断言水平,声称高电平复位输入信号。重置注册的实体,输入值复位输入端口必须要高。如果你指定校验重置断言水平复位输入信号是断言校验。重置注册的实体,输入值复位输入端口必须很低。

命令行信息

属性:ResetInputPort
类型:特征向量
值:一个有效的标识符在目标语言
默认值:“重置”

要设置该属性,使用功能hdlset_parammakehdl。要查看属性值,可以使用函数hdlget_param

例如,您可以指定同步随着ResetType当你产生HDL代码symmetric_fir子系统内部的sfir_fixed使用这两种方法模型。

  • 通过财产作为参数makehdl函数。

    makehdl (“sfir_fixed / symmetric_fir”,“ResetInputPort”,“rstx”)
  • 当你使用hdlset_param,你可以设置的参数模型,然后生成HDL代码使用makehdl

    hdlset_param (“sfir_fixed”,“ResetInputPort”,“rstx”)makehdl (“sfir_fixed / symmetric_fir”)

另请参阅