主要内容

编码器的输入

从一个或多个增量编码器输入通道读取

  • 库:
  • 金宝appSimulink桌面实时

  • 输入块

描述

连接到特定的编码器输入通道并从其读取到Simulink中金宝app®模型。在你添加了编码器的输入块到你的模型,你可以输入参数为其I/O驱动程序。

港口

输出

全部展开

表示编码器状态的数据。输出值的数据类型和范围取决于单板的能力。

数据类型:||int8|uint8|int16|uint16|int32|uint32

连接输入输出模式,返回您的模型落后于实时内核的计时器滴答数。当模型滞后超过最大漏签数时,软件报告错误并停止模拟。

依赖

当你选择显示“错过的Ticks”端口,该端口可见。

数据类型:

参数

全部展开

当你点击安装新单板,该软件显示支持的电路板的制造商列表。金宝app当您选择制造商时,该软件将显示该制造商的可用板列表。当您选择某个单板时,软件会将该单板添加到已注册的单板列表中,并使该单板成为当前单板。

缺省情况下,注册单板列表的初始选择为< no board selected > .

依赖

当您在已注册单板列表中选择单板时,会触发删除当前单板而且板设置按钮可用。

编程使用

块参数:DrvName

删除当前单板,单击此按钮。注册董事会列表的初始选择变为< no board selected > .

依赖

若要激活该参数,请在已注册单板列表中选择单板。

删除当前单板时,将会删除当前单板而且板设置按钮不再可用。

编程使用

块参数:DrvName

要设置电路板,请单击此按钮。

一个特定于单板的对话框将打开以设置单板。有关更多信息,请参阅电路板制造商文档。

依赖

若要激活该参数,请在已注册单板列表中选择单板。

单击,禁用该参数删除当前单板

编程使用

块参数:DrvAddress
块参数:DrvOptions

输入一个值(以秒为单位),表示希望块执行和与I/O硬件交互的频率。块同步你的模型与实时时钟在这个采样率。

如果使用固定步骤求解器,则输入作为固定步长配置参数或该值的整数倍。

编程使用

块参数:SampleTime

连接输入输出模式,输入您的模型可能落后于实时内核的计时器滴答数。当模型滞后于这个数字或更少的计时器滴答声时,软件认为滞后是暂时的。它允许模型迎头赶上,即使模型错过了一些节拍。当模型滞后超过这个数字时,软件报告错误并停止模拟。

在内核中运行模式时,软件忽略此值。

编程使用

块参数:MaxMissedTicks

连接输入输出模式时,选中此复选框将漏记的刻度数发送到输出端口错过了蜱虫

在内核中运行模式下,错过了蜱虫端口为0。

编程使用

块参数:ShowMissedTicks

连接输入输出模式时,选中此复选框可在内核等待硬件响应时授予其他程序更多的CPU时间。

在内核中运行模式时,软件忽略此值。

编程使用

块参数:YieldWhenWaiting

输入一个通道向量,选择您在此板上使用的编码器输入通道。向量可以是任意有效的MATLAB®向量形式。例如,要选择前四个编码器输入通道,输入:

(1、2、3、4)

[1:4]

编程使用

块参数:渠道

编码器使用两组相移的条纹,以光学方式检测运动的幅度和方向。此参数指定编码器计数的编码器条纹边。从列表中选择:

  • (默认)-计数两个条带集的上升边和下降边。

  • —统计两个条带集的上升边。

  • —从一个条带集开始计算上升边。

四重模式每转产生的脉冲数是单模模式的四倍。因此,四重奏更准确。使用四重模式,除非其他参数另有规定。

编程使用

块参数:QuadMode

编码器接口芯片除编码器输入外还有复位引脚。通常,您将此引脚连接到编码器的索引输出。但是,你可以将它连接到任何信号,也可以根本不连接。此参数指定该引脚的功能。从列表中选择:

  • 重置(默认)-编码器计数的级别重置。

  • —开启编码器计数功能。

  • 上升边指数—重置上升边的编码器计数。

  • 降缘指数-重置下沿上的编码器计数。

编程使用

块参数:IndexPulse

编码器接口芯片包括一个低通滤波器,过滤掉高频,芯片解释为噪声。该参数为该滤波器的截止频率(Hz)。您指定的截止频率四舍五入到芯片支持的最接近的频率。金宝app

如果编码器移动缓慢且存在高频噪声,则使用滤波器消除噪声。在滤波器工作时,芯片不将噪声计算为编码器脉冲。如果编码器快速移动,滤波器可以过滤掉高频脉冲,包括你想要计数的脉冲。在这种情况下,可以考虑通过将截止频率设置为来禁用滤波器

编程使用

块参数:InputFilter

从列表中,选择块输出到模型的数据类型。有效值取决于单板的能力。

编程使用

块参数:数据类型

版本历史

R2006a之前介绍

全部展开

另请参阅