如何将仿真软件模型VHDL代码金宝app?

41视图(30天)
你好,
我怎么能仿真软件模型转化为硬件描述语言(金宝appVHDL)代码?以后可以在FPGA中实现它吗?我将使用Microsemi SmartFusion2 FPGA。

接受的答案

克里希纳Adi
克里希纳Adi 2021年1月20日
感谢斯大林回答这个问题。
是的,你需要从仿真软件模型和HDL编码器生成VHDL代码生成的HDL代码可用于金宝app FPGA编程 或ASIC原型和设计。
有关更多信息,请参阅下面的链接:

答案(1)

斯大林撒母耳
斯大林撒母耳 2016年10月13日
  • 使用HDL编码器可以生成VHDL代码从matlab / simulink仿真文件金宝app
  • 为更多的细节在高密度脂蛋白编码器点击在这里
1评论
Ibnul Jaif Farabi
Ibnul Jaif Farabi 2016年10月14日
编辑:Ibnul Jaif Farabi 2016年10月14日
作为数学不同的FPGA有不同的块,所以适用于一种类型的FPGA的代码,可能无法在另一个工作。所以有可能定义一个特定的FPGA在高密度脂蛋白编码器吗?

登录置评。

社区寻宝

找到宝藏在MATLAB中央,发现社区如何帮助你!

开始狩猎!