主要内容

而且

环境和lógico

Sintaxis

Descripcion

比如

一个Brealiza una operación lógica AND con los arreglos一个yB, y develve联合国有关建立要素的规定en 1 lógico (真正的) o 0 lógico ().Un element to del arereglo de salida se establishment en 1 lógico (真正的) si tanto一个科莫B持续的联合国世界之星ubicación阿雷格罗。与之相反的,与之对立的,与之建立的。

Para realizar operaciones AND lógicas bit por bit, consultebitand

和(一个B有一种替代射死的形式A & b,“我用我用”。Permite la sobrecarga de operadores para las classes。

包括

反待办事项

entre el AND lógico de dos矩阵。结果是一样的1逻辑(真正的(《世界上最珍贵的事物》

A = [5 7 0;0 2 9;5 0 0]
一个=3×35 7 0 0 2 9 5 0 0
B = [6 6 0;1 3 5;-1 0 0]
B =3×36 6 0 1 3 5 -1 0 0
A & b
ans =3x3逻辑阵列1 1 0 0 1 1 1 0 0

Cree una tabla de verdad para而且

A =[真假]
一个=1x2逻辑阵列1 0
B =[正确的;假)
B =2x1逻辑阵列1 0
C = a&b
C =2x2逻辑阵列1 0 0 0

entrada论证

反待办事项

多维的操作,具体的计算,向量,矩阵。拉斯维加斯entradas一个yBDeben ser del mismo tamaño o tener tamaños兼容(por ejemplo,一个是母体运动NyBEs UN escalar o UN vector fila1运动N).Para obtener más información, consulteTamaños de arreglos兼容para operaciones básicas

数据提示:||int8|int16|int32|int64|uint8|uint16|uint32|uint64|逻辑

Sugerencias

  • Puede encadenar juntas varias operaciones lógicas, por ejemplo,A & b |

  • 洛杉矶simbolosy& &实现运算区分用MATLAB®.El operador AND element to por element to que se描述aquí es.El operador AND con cortocircuito& &

  • Cuando utilza los operadoresy|元素,por元素,enel context, de una expresión de bucle如果o(y alone en ese contexto),利用皮层电路对评估表达。反其道而行之,另辟蹊径& &o||Para接受,el, comportamiento, de, cortocircuito。Para obtener más información, consulte短路,y短路或

Capacidades ampliadas

Generación de código C/ c++
Genere código C y c++ mediante MATLAB®Coder™。

Generación de código de GPU
Genere código CUDA®para GPU NVIDIA®mediante GPU Coder™。

Generación de código HDL
Genere código Verilog y VHDL para diseños FPGA y ASIC mediante HDL Coder™。

历史版本

介绍,2006年

expandir待办事项