Main Content

Model Design and Software Interface

Model algorithm to map DUT ports to AXI interfaces and generate software interface to probe and rapidly prototype HDL IP core

When you partition your design into hardware and software components, use the HDL Coder™ HDL Workflow Advisor to target your design on standalone FPGA boards, SoC devices, and Speedgoat®FPGA IO modules. The design consists of the DUT algorithm for which you generate the RTL code and IP core. You can integrate the IP core into a reference design for the target platform. To test the HDL IP core functionality, you can use a generated software interface model or a software interface script.

Classes

expand all

hdlcoder.Board Board registration object that describes SoC custom board
hdlcoder.ReferenceDesign Reference design registration object that describes SoC reference design
fpga Access target FPGA or SoC device fromMATLAB
hdlcoder.DUTPort DUT port from anHDL Codergenerated IP core, saved as an object array

Functions

expand all

addAXI4MasterInterface 添加和定义AXI4主界面
addAXI4SlaveInterface Add and define AXI4 slave interface
addAXI4StreamInterface Add AXI4-Stream interface
addAXI4StreamVideoInterface Add AXI4-Stream Video interface
addAXI4SlaveInterface Write data to IP core or read data from IP core using AXI4 or AXI4-Lite interface
addAXI4StreamInterface Write data to IP core or read data from IP core using AXI4-Stream interface
mapPort Maps a DUT port to specified AXI4 interface in HDL IP core
writePort Write data to a DUT port from MATLAB
readPort Reads output data and returns it with the port data type and dimension
release Release the hardware resources associated with the fpga object

Topics

Modeling for AXI Interfaces

Model Design for AXI4 Slave Interface Generation

How to design your model for AXI4 or AXI4-Lite interfaces for scalar, vector ports, bus data types, and read back values.

Model Design for AXI4-Stream Interface Generation

How to design your model for AXI4-Stream vector or scalar interface generation.

Model Design for AXI4-Stream Video Interface Generation

How to design your model for IP core generation with AXI4-stream video interfaces.

Model Design for AXI4 Master Interface Generation

Description of AXI4 Master protocol, and how you can design your model for IP core generation with AXI4-Master interfaces.

Software Interface Generation

Generate Software Interface Script to Probe and Rapidly Prototype HDL IP Core

Generate software interface script to communicate with the HDL IP core and perform rapid prototyping.

Generate Software Interface Model to Probe and Rapidly Prototype HDL IP Core

Generate software interface model to communicate with the HDL IP core and perform rapid prototyping.

Create Software Interface Script to Control and Rapidly Prototype HDL IP Core

Create and author a software interface script by configuring interfaces and port mapping information to control HDL IP core.

Featured Examples