主要内容

运行一个金宝appCosimulation会话

金宝app型号配置参数

当你创建Simulink时金宝app®如果一个模型包含一个或多个HDL Verifier™Cosimulation模块,您可能需要调整某些Simulink参数设置以最佳地满足HDL建模的需要。金宝app的值停止时间参数中的解算器窗格中的“模型配置参数”对话框。

您可以单独调整参数,也可以使用DSP System Toolbox™Simulink模型模板自动配置Simulink环境,使用数字信号处理建模的推荐设置。金宝app

参数 默认设置
“SingleTaskRateTransMsg” “错误”
“规划求解” “fixedstepdiscrete”
“EnableMultiTasking” “关闭”
“开始时间” “0.0”
“StopTime” “正”
“FixedStep” “汽车”
“SaveTime” “关闭”
“SaveOutput” “关闭”
“AlgebraicLoopMsg” “错误”

的默认设置SaveTime而且SaveOutput提高仿真性能。

有关DSP System Toolbox Simulink模型模板的更多信息,请参阅D金宝appSP System Toolbox文档。

确定可用套接字端口号

要确定可用的套接字号,请使用:ttcp——一个shell提示符。

检查连接状态

要检查连接状态,请在建模选项卡,在编译部分中,点击更新模型.如果您在连接中出现错误,Simulink将通知您。金宝app

MATLAB®命令pingHdlSim也可用于检查连接状态。如果返回-1,则没有与HDL模拟器的连接。

运行和测试协同仿真模型

一般来说,协同仿真的最后一个阶段是运行和测试您的模型。在共同模拟会议期间或会议之间更改模型时,您必须了解一些步骤。你可以用以下三种方式之一运行协同模拟:

使用金宝app和HDL模拟器gui

启动HDL模拟器并加载HDL设计。对于试验台联合仿真,首先在HDL模拟器中开始仿真。然后,在Simul金宝appink中模拟选项卡上,单击运行.金宝appSimulink运行模型并显示它检测到的任何错误。您可以在HDL模拟器和Simulink gui之间交替使用,以监视联合仿真结果。金宝app

对于组件协同仿真,首先在Simulink中开始仿真,然后在HDL模拟器中开始仿真。金宝app

您可以将“GUI”指定为HDL Verifier HDL模拟器启动命令的运行模式参数的属性值,但由于使用GUI是HDL Verifier的默认模式,因此不必这样做。

Cosimulation与金宝app通过CLI方式

使用命令行界面运行您的联合仿真会话允许您在联合仿真期间与HDL模拟器交互,这对调试很有帮助。

要使用CLI,请将“CLI”指定为HDL Verifier HDL模拟器启动命令的运行模式参数的属性值。

谨慎

通过输入关闭终端窗口退出- f在命令提示符处。不要通过点击右上角的“X”关闭终端窗口。这将导致系统发出内存类型错误。这不是HDL验证器的错误,而只是HDL模拟器在此上下文中的行为方式。

您可以键入CTRL+C来中断和终止HDL模拟器中的模拟,但此操作也会导致显示内存类型错误。

指定CLI模式nclaunch节奏Xcelium

指定CLI模式vsim导师图形ModelSim

Cosimulation与金宝app使用批处理模式

以批处理模式运行协同模拟会话允许您将进程保持在后台,通过脱离GUI减少对内存的需求。

要使用批处理模式,请将“batch”指定为HDL Verifier HDL模拟器启动命令的运行模式参数的属性值。发出指定批处理模式的HDL Verifier HDL模拟器启动命令后,在Simulink中启动仿真。金宝app要在模拟完成之前停止HDL模拟器,请发出breakHdlSim命令。

指定批处理模式nclaunch节奏Xcelium

指定批处理模式vsim导师图形ModelSim

测试Cosimulation

如果你想在共同模拟过程中重置时钟,你可以通过以下方式之一来实现:

  • 通过进入HDL模拟器命令在HDL模拟器命令提示符

  • 通过指定HDL模拟器命令后模拟命令的文本字段。模拟“HDL Verifier Cosimulation”块参数对话框的窗格。

另请参阅时钟、复位和使能信号

如果您更改了Simulink模型的任何部分,包括HDL Co金宝appsimulation块参数,请更新模型以反映这些更改。您可以通过以下方式之一进行此更新:

  • 重新运行模拟

  • 建模选项卡,在编译部分中,点击更新模型

从Tcl脚本中设置参数

您可以创建一个Tcl脚本,列出您想要在HDL模拟器上执行的Tcl命令,无论是在模拟前还是模拟后。

ModelSim用户的Tcl脚本

您可以创建一个列出Tcl命令的ModelSim DO文件,然后使用ModelSim指定该文件命令如下:

做mycosimstartup.do

做mycosimcleanup.do

您可以包括退出- f命令在模拟后Tcl命令或DO文件中强制ModelSim在共同模拟会话结束时关闭。在一个共同模拟块中指定所有模拟后的Tcl命令,并放置辞职在命令或DO文件的末尾。

除了辞职,您指定的命令或DO文件不能包含加载ModelSim项目或修改模拟器状态的命令。例如,它们不能包含如下命令开始停止,或重新启动

用于Xcelium™用户的Tcl脚本

您可以创建一个列出Tcl命令的HDL模拟器Tcl脚本,然后使用HDL模拟器指定该文件命令如下:

mycosimstartup来源。script_extension

mycosimcleanup来源。script_extension

您可以包括退出命令在后续模拟Tcl脚本中强制HDL模拟器在共同模拟会话结束时关闭。在一个共同模拟块中指定所有模拟后Tcl命令退出在命令或Tcl脚本的末尾。

除了退出,指定的命令或Tcl脚本不能包含加载HDL模拟器项目或修改模拟器状态的命令。例如,它们不能包含如下命令运行停止,或重置

此示例显示了一个Tcl脚本guiArgument与hdlsimmatlabhdl金宝appsimulink

1000后{xmsim -submit exit}

时使用的Tcl退出脚本tclArgument与hdlsimmatlabhdl金宝appsimulink

1000后{退出}

在HDL仿真中,利用测试台架协同仿真技术避免竞争条件高密度脂蛋白验证器HDL协同仿真模块

在HDL模拟器中,您不能控制在HDL Cosimulation块中定义的时钟信号(上升边缘或下降边缘)应用的顺序,相对于由这些时钟驱动的数据输入。如果仔细验证数据和时钟的活动边之间的关系,就可以避免可能产生不同共模拟结果的竞态条件。看到HDL模拟器中的竞赛条件