FPGA数据捕获

从生活FPGA捕获信号数据

当设计在FPGA上运行时,使用FPGA数据捕获来观察来自设计的信号。该特性从FPGA捕获一窗口信号数据,并将数据返回给MATLAB®或仿真金宝app软件®。为了捕获信号,HDL Verifier™生成一个IP核心,您必须将其集成到您的HDL项目中,并将其与设计的其余部分一起部署到FPGA中。HDL验证器还生成一个工具,系统对象™,和Simulink模型,与FPGA通信,并返回数据到MATLAB或Sim金宝appulink。

捕获FPGA数据:

  1. 生成定制的组件和IP核心。指定生成的IP端口名称和大小。这些端口连接到您想要捕获的信号,以及您想在捕获发生时用作触发器来控制的信号。

  2. 将生成的IP集成到FPGA设计和部署你的FPGA板的设计。

  3. 使用生成的工具、系统对象或Simulink模型来捕获用于分析、验证或显示的数据。金宝app您可以配置一个触发器条件来控制捕获发生的时间。

看到数据采集流程

工具

FPGA数据捕获组件生成器 配置和生成FPGA数据捕获组件
FPGA数据捕获 从现场FPGA捕获数据到MATLAB工作空间交互

功能

hdlverifier.FPGADataReader 从现场FPGA捕获数据到MATLAB工作空间

FPGA数据阅读器 从现场FPGA捕获数据到金宝app模型

主题

数据采集流程

从FPGA上运行的设计中捕获信号数据的高级步骤。

触发器

使用触发器条件来捕获FPGA上特定事件的数据。

数据捕获的设计注意事项

FPGA数据捕获的信号、时序和JTAG限制。

特色的例子