主要内容

导入HDL代码的HDL协同仿真块

Cosimulation类型,金宝app

打开模型,然后应用程序选项卡上,单击高密度脂蛋白验证器。然后,在模式部分选择高密度脂蛋白Cosimulation,然后单击进口高密度脂蛋白文件打开Cosimulation向导

  1. 选择ModelSim敏锐的高密度脂蛋白模拟器

  2. 选择在系统路径上使用HDL模拟器可执行文件如果那是文件所在的地方。Cosimulation Wizard默认情况下假设它们在系统路径上。

    如果是HDL模拟器可执行文件在系统路径上选择在以下位置使用以下HDL模拟器可执行文件并在下面的文本框中指定文件夹位置。

    如果您点击下一个和Cosimulation向导没有找到可执行文件,出现以下情况:

    • 返回到此对话框,协同模拟向导在状态窗格中显示错误。

    • 协同模拟向导将选项切换为在以下位置使用以下HDL模拟器可执行文件

    • 协同仿真向导使HDL仿真路径字段可编辑。

    在允许您继续之前,您必须输入一个有效的HDL模拟器可执行文件路径。

  3. 点击下一个

高密度脂蛋白文件-金宝app

高密度脂蛋白的文件窗格中,指定要在创建函数或块时使用的文件。

  • 协同模拟向导尝试确定每个文件的文件类型,并在文件列表在文件名旁边。如果协同模拟向导不能确定类型或显示错误的类型,则可以在文件类型列。

  • 如果可能,Cosimulation Wizard将使用HDL模拟器提供的功能自动确定编译顺序。这意味着您可以以任何顺序添加文件。

  • 如果您正在使用ModelSim®,您将看到编译脚本列为.do文件(ModelSim宏文件)。如果你用的是犀利的®,您将看到编译脚本被列为系统脚本。

  1. 点击添加选择一个或多个文件名。

  2. 控件中首先突出显示文件名来删除文件文件列表,然后点击删除选中文件

  3. 点击下一个

高密度脂蛋白编译,金宝app

高密度脂蛋白编译窗格中,您可以查看生成的HDL编译命令。如果您愿意,您可以覆盖和/或自定义这些命令。如果包含编译脚本而不是HDL文件,则此窗格将显示运行这些脚本的命令。

  1. 中输入对命令的任何更改编译命令盒子。

    请注意

    不包括系统shell命令;例如:

    设置文件= a.vhd vcom $file

    当控件从执行该命令返回到Cosimulation Wizard时,该变量不再保存所设置的值。如果您确实尝试包含这种类型的命令,您将在状态面板。

  2. 点击恢复默认的命令返回生成的HDL编译命令。要求您确认要放弃任何更改。

  3. 点击下一个继续。

模拟选项-金宝app

模拟选项窗格中,提供在协同仿真中使用的HDL模块的名称。

  1. 输入模块的名称用于模拟的HDL模块的名称

  2. 指定附加的模拟选项模拟选项。例如,在前面的图像中,显示的选项是:

    • 高密度脂蛋白模拟器解决方案

    • 关闭从模拟视图中移除信号的优化

    点击恢复默认值将选项更改回默认值。

  3. 连接方法中,选择共享内存如果您的防火墙策略不允许TCP/IP套接字通信。

  4. 点击下一个进行下一步。在此过程中,应用程序在命令窗口中执行以下操作:

    • 启动HDL模拟器。

    • 在HDL模拟器中加载HDL模块。

    • 启动HDL服务器,并等待服务器已启动的通知。

    • 与HDL服务器连接以获取端口信息。

    • 断开并关闭HDL服务器。

输入/输出端口金宝app

  1. 金宝app仿真软件的端口窗格中,指定每个输入和输出端口的类型。

    • 协同模拟向导将尝试为您确定端口类型,但您可以重写任何设置。

    • 对于输入端口,请选择输入,时钟,重置,或未使用的

    • 对于输出端口,请选择输出未使用的

    • 金宝app®在HDL模拟器中通过Tcl命令强制时钟和重置信号。您可以在后面的步骤中指定时钟和复位信号计时(参见时钟/重置Details-Simulin金宝appk块)。

    • 要用Simulink信号驱动HDL时钟和重置信号,请将它们标记为金宝app输入

  2. 点击下一个进入输出端口详细信息- simulink块金宝app

输出端口的详细信息-金宝app

  1. 输出端口的详细信息窗格中,设置所有输出端口的采样时间和数据类型。

    • 采样时间默认为1,数据类型默认为继承签署。属性的方式与这些默认值一致高密度脂蛋白Cosimulation块面具(港口选项卡)设置输出端口的默认设置。

    • 如果您选择将所有样本时间和数据类型设置为“继承”,端口通过反向传播继承the Times(样本时间设置为-1)。然而,反向传播在某些情况下可能失败;看到样本时间的反向传播(金宝app模型)

  2. 点击下一个

时钟/重置细节-金宝app

  1. 时钟/重置细节窗格,设置时钟和重置参数。

    • 这里规定的时间段是指HDL模拟器中的时间。

    • 时钟的默认设置是一个上升的活动边缘和10 ns的周期。

    • 重置的默认设置是初始值为0,持续时间为15ns。

    下一个屏幕提供了模拟开始时间的可视化显示,您可以查看时钟和重置如何排列。

  2. 点击下一个

开始时间对齐,金宝app

  1. 开始时间对齐窗格中,查看时钟和重置的当前设置。这个对话的目的有两个:

    • 确保上升或下降边缘设置为预期(从上一步)

      • 检查开始时间。如果它与时钟的活动边缘一致,您需要调整HDL模拟器的开始时间。

      • 检查复位信号。如果它与时钟活动边缘同步,则可能存在竞争条件。

        为了避免竞争情况,请确保开始时间不与任何时钟的活动边缘一致。可以通过移动开始时间或在上一步中更改时钟活动边缘来实现这一点。

    • 确保开始时间是你想要的。

    HDL模拟器开始时间是根据上一窗格中的时钟和重置值计算的。如果您愿意,您可以通过在您看到的地方输入一个新值来更改HDL模拟器的开始时间HDL开始协同模拟的时间(ns)。点击更新图查看您的更改应用。

  2. 点击下一个

生成块

  1. 指定您是否想要HDL Verifier™确定时间刻度时,您开始模拟通过选择在模拟开始时自动确定时间刻度。如果您希望自己确定时间刻度,请保持此框为未选中状态,并在下面的文本框中输入时间刻度值。默认是自动确定时间刻度。

    有关时间尺度的更多信息,请参见模拟时间尺度

  2. 点击回来查看或更改您的设置。

  3. 点击完成生成HDL协同仿真模块。

完整的金宝app模型

Cosimulation向导工具将以下项插入到模型中:

  • 一个高密度脂蛋白Cosimulation

  • 一个实用函数来编译的HDL设计

  • 一个实用函数来启动HDL模拟器

  1. 控件的输入和输出高密度脂蛋白Cosimulation块排队。

  2. 将目标模型中的块连接到高密度脂蛋白Cosimulation块。

请注意

如果你打开Cosimulation向导,而不是从Simulink工具条金宝app高密度脂蛋白Cosimulation并且实用函数在一个新的模型中打开。首先必须将它们复制到模型中。

当您完成模型时,请查看执行Cosimulation在HDL协同仿真的下一个步骤。