建模,模拟,分析和代码生成的SoC FPGA器件

SoC的FPGA是与硬件处理器内核,例如那些从臂整合可编程逻辑的半导体器件。该架构提供了容易性可编程逻辑织物的柔韧性和性能沿对处理器编程的。

的SoC FPGA是可以从几个来源:

  • 赛灵思:ZYNQ®-7000 SoC和ZYNQ的UltraScale +片上多核家庭
  • 英特尔:STRATIX®10级的SoC的FPGA,阿里亚®10的SoC的FPGA和Arria V SoC和旋风®V SoC的家庭
  • Microsemi的:PolarFire®SoC器件和SmartFusion2和SmartFusion的®的SoC FPGA的

瞄准的SoC FPGA的一般需要嵌入式软件开发和FPGA设计方法的组合。针对设计师MATLAB®算法和金宝app®模型的SoC FPGA器件还可以使用:

  • 嵌入式编码®生成ANSI / ISO C / C ++代码靶向的SoC的FPGA嵌入式处理器核。
  • HDL编码器®以生成IP核靶向的SoC的FPGA的可编程逻辑。
  • SoC的模块库™到的Simulink的能力扩展到建模,模拟和分析的金宝appSoC FPGA架构,包括与片外DDR存储器和I / O设备的通信。SoC的模块库占了上下车的SoC组件,它必须开发的算法模型时,必须考虑之间的延时。
  • HDL验证™执行FPGA合环测试,插入使用FPGA数据捕获探针,或使用MATLAB AXI万事达IP在可编程逻辑控制IP核。
  • 硬件支持包金宝app嵌入式编码HDL编码器SoC的模块库目标从赛灵思与英特尔专用板。



也可以看看:HDL编码器嵌入式编码