主要内容

FPGA数据捕获

从现场FPGA捕获信号数据

当设计在FPGA上运行时,使用FPGA数据捕获来观察来自设计的信号。该功能从FPGA捕获信号数据窗口,并将数据返回到MATLAB®或仿真金宝app软件®. 为了捕获信号,HDL验证器™ 生成一个IP核心,您必须将其集成到HDL项目中,并与其他设计一起部署到FPGA。HDL验证器还生成一个应用程序,即系统对象™, Simulink模型与FPGA进行通信,并将数据返回到MATLAB或Simulink。金宝app

获取FPGA数据:

  1. 生成定制组件和IP核。为生成的IP指定端口名称和大小。这些端口连接到您想要捕获的信号,以及您想要使用作为触发器来控制捕获何时发生的信号。

  2. 将生成的IP集成到FPGA设计中,并将设计部署到FPGA板上。当使用HDL Workflow Advisor时,这个步骤是自动的。

  3. 使用生成的应用程序、System对象或Simulink模型来捕获数据进行分析、验证金宝app或显示。您可以配置一个触发器条件来控制何时发生捕获。

要使用此特性,必须下载FPGA板的硬件支持包。金宝app有关此特性的更多文档包含在支持包安装中。金宝app参见文档获取支持包:金宝app

有关下载支持包的信息,请参见金宝app下载FPGA板支持包金宝app

话题

数据采集流程

用于从运行在FPGA上的设计中捕获信号数据的高级工作流。

特色的例子